From e47764b0c22942cd358d07d9efd88219dc6603ac Mon Sep 17 00:00:00 2001 From: "mickael@pcys" <mickael.fiorentino@polymtl.ca> Date: Wed, 9 Oct 2019 15:42:04 -0400 Subject: [PATCH] Nettoyage de la branche master --- constraints/compteur.syn.sdc | 35 - implementation/pnr/cds.lib | 2 - implementation/pnr/netlist/compteur.cts.sdf | 375 ----------- implementation/pnr/netlist/compteur.cts.v | 123 ---- implementation/pnr/netlist/compteur.place.sdf | 287 -------- implementation/pnr/netlist/compteur.place.v | 99 --- implementation/pnr/netlist/compteur.route.sdf | 375 ----------- implementation/pnr/netlist/compteur.route.v | 123 ---- implementation/pnr/reports/compteur.con.rpt | 18 - implementation/pnr/reports/compteur.drc.rpt | 11 - implementation/pnr/reports/compteur.dyn.rpt | 86 --- implementation/pnr/reports/compteur.stat.rpt | 83 --- .../pnr/reports/compteur.timing.rpt | 34 - .../reports/timing/compteur_postCTS.cap.gz | Bin 448 -> 0 bytes .../reports/timing/compteur_postCTS.fanout.gz | Bin 325 -> 0 bytes .../reports/timing/compteur_postCTS.length.gz | Bin 240 -> 0 bytes .../timing/compteur_postCTS.summary.gz | Bin 549 -> 0 bytes .../reports/timing/compteur_postCTS.tran.gz | Bin 497 -> 0 bytes .../timing/compteur_postCTS_all.tarpt.gz | Bin 4537 -> 0 bytes .../timing/compteur_postCTS_default.tarpt.gz | Bin 2775 -> 0 bytes .../timing/compteur_postCTS_reg2reg.tarpt.gz | Bin 3721 -> 0 bytes .../compteur_postRoute.SI_Glitches.rpt.gz | Bin 90 -> 0 bytes .../reports/timing/compteur_postRoute.cap.gz | Bin 450 -> 0 bytes .../timing/compteur_postRoute.fanout.gz | Bin 326 -> 0 bytes .../timing/compteur_postRoute.length.gz | Bin 241 -> 0 bytes .../timing/compteur_postRoute.summary.gz | Bin 566 -> 0 bytes .../reports/timing/compteur_postRoute.tran.gz | Bin 499 -> 0 bytes .../timing/compteur_postRoute_all.tarpt.gz | Bin 4711 -> 0 bytes .../compteur_postRoute_default.tarpt.gz | Bin 2806 -> 0 bytes .../compteur_postRoute_reg2reg.tarpt.gz | Bin 3892 -> 0 bytes .../timing/compteur_prePlace.summary.gz | Bin 395 -> 0 bytes .../timing/compteur_prePlace_all.tarpt.gz | Bin 3932 -> 0 bytes .../timing/compteur_prePlace_default.tarpt.gz | Bin 2523 -> 0 bytes .../timing/compteur_prePlace_reg2reg.tarpt.gz | Bin 3220 -> 0 bytes implementation/syn/netlist/compteur.elab.v | 154 ----- implementation/syn/netlist/compteur.syn.sdf | 323 --------- implementation/syn/netlist/compteur.syn.v | 44 -- implementation/syn/reports/compteur.check.rpt | 615 ------------------ implementation/syn/reports/compteur.clk.rpt | 45 -- .../syn/reports/compteur.gen.area.rpt | 12 - .../syn/reports/compteur.gen.gates.rpt | 19 - .../syn/reports/compteur.gen.power.rpt | 14 - .../syn/reports/compteur.gen.timing.rpt | 47 -- .../syn/reports/compteur.hier_elab.rpt | 17 - .../syn/reports/compteur.map.area.rpt | 12 - .../syn/reports/compteur.map.gates.rpt | 40 -- .../syn/reports/compteur.map.power.rpt | 14 - .../syn/reports/compteur.map.timing.rpt | 43 -- .../syn/reports/compteur.syn.area.rpt | 12 - .../syn/reports/compteur.syn.gates.rpt | 40 -- .../syn/reports/compteur.syn.power.rpt | 14 - .../syn/reports/compteur.syn.timing.rpt | 43 -- .../syn/reports/compteur.timing_lint.rpt | 48 -- scripts/pnr.tcl | 220 ------- scripts/pwr.tcl | 107 --- scripts/sim.tcl | 91 --- scripts/syn.tcl | 142 ---- simulation/run.do | 23 - 58 files changed, 3790 deletions(-) delete mode 100644 constraints/compteur.syn.sdc delete mode 100644 implementation/pnr/cds.lib delete mode 100644 implementation/pnr/netlist/compteur.cts.sdf delete mode 100644 implementation/pnr/netlist/compteur.cts.v delete mode 100644 implementation/pnr/netlist/compteur.place.sdf delete mode 100644 implementation/pnr/netlist/compteur.place.v delete mode 100644 implementation/pnr/netlist/compteur.route.sdf delete mode 100644 implementation/pnr/netlist/compteur.route.v delete mode 100644 implementation/pnr/reports/compteur.con.rpt delete mode 100644 implementation/pnr/reports/compteur.drc.rpt delete mode 100644 implementation/pnr/reports/compteur.dyn.rpt delete mode 100644 implementation/pnr/reports/compteur.stat.rpt delete mode 100644 implementation/pnr/reports/compteur.timing.rpt delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS.cap.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS.fanout.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS.length.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS.summary.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS.tran.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.cap.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.fanout.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.length.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.summary.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute.tran.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_prePlace.summary.gz delete mode 100644 implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz delete mode 100644 implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz delete mode 100644 implementation/syn/netlist/compteur.elab.v delete mode 100644 implementation/syn/netlist/compteur.syn.sdf delete mode 100644 implementation/syn/netlist/compteur.syn.v delete mode 100644 implementation/syn/reports/compteur.check.rpt delete mode 100644 implementation/syn/reports/compteur.clk.rpt delete mode 100644 implementation/syn/reports/compteur.gen.area.rpt delete mode 100644 implementation/syn/reports/compteur.gen.gates.rpt delete mode 100644 implementation/syn/reports/compteur.gen.power.rpt delete mode 100644 implementation/syn/reports/compteur.gen.timing.rpt delete mode 100644 implementation/syn/reports/compteur.hier_elab.rpt delete mode 100644 implementation/syn/reports/compteur.map.area.rpt delete mode 100644 implementation/syn/reports/compteur.map.gates.rpt delete mode 100644 implementation/syn/reports/compteur.map.power.rpt delete mode 100644 implementation/syn/reports/compteur.map.timing.rpt delete mode 100644 implementation/syn/reports/compteur.syn.area.rpt delete mode 100644 implementation/syn/reports/compteur.syn.gates.rpt delete mode 100644 implementation/syn/reports/compteur.syn.power.rpt delete mode 100644 implementation/syn/reports/compteur.syn.timing.rpt delete mode 100644 implementation/syn/reports/compteur.timing_lint.rpt delete mode 100644 scripts/pnr.tcl delete mode 100644 scripts/pwr.tcl delete mode 100644 scripts/sim.tcl delete mode 100644 scripts/syn.tcl delete mode 100644 simulation/run.do diff --git a/constraints/compteur.syn.sdc b/constraints/compteur.syn.sdc deleted file mode 100644 index a6ccf1e..0000000 --- a/constraints/compteur.syn.sdc +++ /dev/null @@ -1,35 +0,0 @@ -# #################################################################### - -# Created by Genus(TM) Synthesis Solution 18.10-p003_1 on Tue Oct 08 12:54:55 EDT 2019 - -# #################################################################### - -set sdc_version 2.0 - -set_units -capacitance 1000.0fF -set_units -time 1000.0ps - -# Set the current design -current_design compteur - -create_clock -name "clk" -period 1.25 -waveform {0.0 0.625} [get_ports i_clk] -set_load -pin_load -max 0.5 [get_ports {o_cnt[3]}] -set_load -pin_load -max 0.5 [get_ports {o_cnt[2]}] -set_load -pin_load -max 0.5 [get_ports {o_cnt[1]}] -set_load -pin_load -max 0.5 [get_ports {o_cnt[0]}] -set_false_path -from [get_ports i_rstn] -set_clock_gating_check -setup 0.0 -set_input_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports i_clk] -set_input_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports i_rstn] -set_input_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports i_en] -set_output_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports {o_cnt[3]}] -set_output_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports {o_cnt[2]}] -set_output_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports {o_cnt[1]}] -set_output_delay -clock [get_clocks clk] -add_delay 0.2 [get_ports {o_cnt[0]}] -set_driving_cell -lib_cell BUFX20 -library slow_vdd1v0 -pin "Y" [get_ports i_clk] -set_driving_cell -lib_cell BUFX20 -library slow_vdd1v0 -pin "Y" [get_ports i_rstn] -set_driving_cell -lib_cell BUFX20 -library slow_vdd1v0 -pin "Y" [get_ports i_en] -set_ideal_network [get_pins {rstn_sync_reg[1]/Q}] -set_dont_use [get_lib_cells slow_vdd1v0/HOLDX1] -set_clock_uncertainty -setup 0.1 [get_clocks clk] -set_clock_uncertainty -hold 0.03 [get_clocks clk] diff --git a/implementation/pnr/cds.lib b/implementation/pnr/cds.lib deleted file mode 100644 index 8a32172..0000000 --- a/implementation/pnr/cds.lib +++ /dev/null @@ -1,2 +0,0 @@ -INCLUDE /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/cds.lib -DEFINE compteur_oa compteur_oa diff --git a/implementation/pnr/netlist/compteur.cts.sdf b/implementation/pnr/netlist/compteur.cts.sdf deleted file mode 100644 index 054dbd1..0000000 --- a/implementation/pnr/netlist/compteur.cts.sdf +++ /dev/null @@ -1,375 +0,0 @@ -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "compteur") - (DATE "Tue Oct 8 12:57:34 2019") - (VENDOR "Cadence Design Systems, Inc.") - (PROGRAM "Innovus") - (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))") - (DIVIDER /) - (VOLTAGE 0.900000::0.900000) - (PROCESS "1.000000::1.000000") - (TEMPERATURE 125.000000::125.000000) - (TIMESCALE 1.0 ns) - - (CELL - (CELLTYPE "compteur") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT cnt_reg\[0\]/Q g345__9682/A1N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[0\]/Q g347__1309/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[0\]/Q FE_OFC26_FE_OFN8_o_cnt_0/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC7_o_cnt_1/Y g342__3772/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC22_FE_OFN5_o_cnt_1/Y FE_OFC7_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g339__4296/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g344__4547/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q cnt_reg\[1\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g343__1474/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q FE_OFC22_FE_OFN5_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q FE_OFC23_FE_OFN5_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y FE_OFC21_FE_OFN3_o_cnt_2/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g337__8780/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g346__2683/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g342__3772/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[2\]/Q cnt_reg\[2\]/SE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[2\]/Q FE_OFC20_FE_OFN3_o_cnt_2/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC1_o_cnt_3/Y g339__4296/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC24_FE_OFN0_o_cnt_3/Y FE_OFC1_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q g337__8780/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q g346__2683/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q FE_OFC24_FE_OFN0_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q FE_OFC25_FE_OFN0_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[3\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[2\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[1\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[0\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[0\]/Q rstn_sync_reg\[1\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y cnt_reg\[1\]/SE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g342__3772/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g343__1474/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g345__9682/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g339__4296/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g344__4547/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g345__9682/Y cnt_reg\[0\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g344__4547/Y cnt_reg\[1\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g342__3772/Y g339__4296/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC27_n_7/Y cnt_reg\[2\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y g337__8780/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y cnt_reg\[2\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y FE_OFC27_n_7/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g339__4296/Y g337__8780/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g337__8780/Y cnt_reg\[3\]/D (0.000::0.000) (0.000::0.000)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC27_n_7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.118::0.118) (0.072::0.072)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC26_FE_OFN8_o_cnt_0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.399::0.399) (0.473::0.473)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC25_FE_OFN0_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.406::0.406) (0.480::0.480)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC24_FE_OFN0_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.070::0.070) (0.072::0.072)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC23_FE_OFN5_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.423::0.423) (0.497::0.497)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC22_FE_OFN5_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090::0.090) (0.091::0.091)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKINVX20") - (INSTANCE FE_OFC21_FE_OFN3_o_cnt_2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.374::0.374) (0.454::0.454)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX2") - (INSTANCE FE_OFC20_FE_OFN3_o_cnt_2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.094::0.094) (0.100::0.100)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC7_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.041::0.041) (0.044::0.044)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC1_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.035::0.035) (0.037::0.037)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.072::0.072)) - (IOPATH CK Q (0.243::0.243) (0.213::0.213)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (::0.114) (::-0.067)) - (SETUPHOLD (negedge D) (posedge CK) (::0.047) (::0.025)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.165)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.080::0.080)) - (IOPATH CK Q (0.250::0.250) (0.222::0.222)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (::0.100) (::-0.054)) - (SETUPHOLD (negedge D) (posedge CK) (::0.034) (::0.036)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.165)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[3\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.109::0.109)) - (IOPATH CK Q (0.285::0.285) (0.260::0.260)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (::0.154) (::-0.106)) - (SETUPHOLD (negedge D) (posedge CK) (::0.076) (::-0.000)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.165)) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[2\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.087::0.087)) - (IOPATH CK Q (0.265::0.265) (0.238::0.238)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (::0.229) (::-0.168)) - (SETUPHOLD (negedge SI) (posedge CK) (::0.200) (::-0.105)) - (SETUPHOLD (posedge SE) (posedge CK) (::0.186) (::-0.066)) - (SETUPHOLD (negedge SE) (posedge CK) (::0.218) (::-0.026)) - (SETUPHOLD (posedge D) (posedge CK) (::0.210) (::-0.148)) - (SETUPHOLD (negedge D) (posedge CK) (::0.129) (::-0.039)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.161)) - ) - ) - - (CELL - (CELLTYPE "OAI31X1") - (INSTANCE g337__8780) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.156::0.156) (0.144::0.144)) - (IOPATH A1 Y (0.162::0.162) (0.143::0.143)) - (IOPATH A2 Y (0.167::0.167) (0.112::0.112)) - (IOPATH B0 Y (0.080::0.080) (0.124::0.124)) - ) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.129::0.129)) - (IOPATH CK Q (0.303::0.303) (0.279::0.279)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (::0.211) (::-0.150)) - (SETUPHOLD (negedge SI) (posedge CK) (::0.140) (::-0.048)) - (SETUPHOLD (posedge SE) (posedge CK) (::0.195) (::-0.074)) - (SETUPHOLD (negedge SE) (posedge CK) (::0.226) (::-0.033)) - (SETUPHOLD (posedge D) (posedge CK) (::0.248) (::-0.185)) - (SETUPHOLD (negedge D) (posedge CK) (::0.174) (::-0.081)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.161)) - ) - ) - - (CELL - (CELLTYPE "AOI22X1") - (INSTANCE g339__4296) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.090::0.090) (0.105::0.105)) - (IOPATH A1 Y (0.112::0.112) (0.126::0.126)) - (IOPATH B0 Y (0.127::0.127) (0.125::0.125)) - (IOPATH B1 Y (0.068::0.068) (0.069::0.069)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.102::0.102)) - (IOPATH CK Q (0.279::0.279) (0.252::0.252)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (::0.128) (::-0.081)) - (SETUPHOLD (negedge D) (posedge CK) (::0.055) (::0.018)) - (WIDTH (posedge CK) (::0.152)) - (WIDTH (negedge CK) (::0.165)) - ) - ) - - (CELL - (CELLTYPE "OAI21X1") - (INSTANCE g342__3772) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.075::0.075) (0.090::0.090)) - (IOPATH A1 Y (0.118::0.118) (0.123::0.123)) - (IOPATH B0 Y (0.062::0.062) (0.103::0.103)) - ) - ) - ) - - (CELL - (CELLTYPE "NAND2XL") - (INSTANCE g343__1474) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.128::0.128) (0.194::0.194)) - (IOPATH B Y (0.090::0.090) (0.154::0.154)) - ) - ) - ) - - (CELL - (CELLTYPE "NOR2X1") - (INSTANCE g344__4547) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.113::0.113) (0.101::0.101)) - (IOPATH B Y (0.056::0.056) (0.043::0.043)) - ) - ) - ) - - (CELL - (CELLTYPE "AOI2BB1X1") - (INSTANCE g345__9682) - (DELAY - (ABSOLUTE - (IOPATH A0N Y (0.097::0.097) (0.117::0.117)) - (IOPATH A1N Y (0.112::0.112) (0.131::0.131)) - (IOPATH B0 Y (0.087::0.087) (0.071::0.071)) - ) - ) - ) - - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g346__2683) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.171::0.171) (0.120::0.120)) - (IOPATH B Y (0.171::0.171) (0.112::0.112)) - ) - ) - ) - - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g347__1309) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.148::0.148) (0.094::0.094)) - (IOPATH B Y (0.183::0.183) (0.126::0.126)) - ) - ) - ) -) diff --git a/implementation/pnr/netlist/compteur.cts.v b/implementation/pnr/netlist/compteur.cts.v deleted file mode 100644 index 83d0759..0000000 --- a/implementation/pnr/netlist/compteur.cts.v +++ /dev/null @@ -1,123 +0,0 @@ -/* -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:57:34 2019 -# Design: compteur -# Command: saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.cts.v -############################################################### -*/ -// Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1 -// Generated on: Oct 8 2019 12:54:55 EDT (Oct 8 2019 16:54:55 UTC) -// Verification Directory fv/compteur -module compteur ( - i_clk, - i_rstn, - i_en, - o_cnt); - input i_clk; - input i_rstn; - input i_en; - output [3:0] o_cnt; - - // Internal wires - wire FE_OFN8_o_cnt_0; - wire FE_OFN7_o_cnt_1; - wire FE_OFN6_o_cnt_1; - wire FE_OFN5_o_cnt_1; - wire FE_OFN4_o_cnt_2; - wire FE_OFN3_o_cnt_2; - wire FE_OFN2_o_cnt_3; - wire FE_OFN1_o_cnt_3; - wire FE_OFN0_o_cnt_3; - wire [1:0] rstn_sync; - wire n_1; - wire n_2; - wire n_3; - wire n_4; - wire n_5; - wire n_6; - wire n_7; - wire n_8; - wire n_9; - - INVX1 FE_OFC27_n_7 (.Y(n_6), - .A(n_7)); - CLKBUFX20 FE_OFC26_FE_OFN8_o_cnt_0 (.Y(o_cnt[0]), - .A(FE_OFN8_o_cnt_0)); - CLKBUFX20 FE_OFC25_FE_OFN0_o_cnt_3 (.Y(o_cnt[3]), - .A(FE_OFN0_o_cnt_3)); - INVX1 FE_OFC24_FE_OFN0_o_cnt_3 (.Y(FE_OFN1_o_cnt_3), - .A(FE_OFN0_o_cnt_3)); - CLKBUFX20 FE_OFC23_FE_OFN5_o_cnt_1 (.Y(o_cnt[1]), - .A(FE_OFN5_o_cnt_1)); - INVX1 FE_OFC22_FE_OFN5_o_cnt_1 (.Y(FE_OFN6_o_cnt_1), - .A(FE_OFN5_o_cnt_1)); - CLKINVX20 FE_OFC21_FE_OFN3_o_cnt_2 (.Y(o_cnt[2]), - .A(FE_OFN4_o_cnt_2)); - INVX2 FE_OFC20_FE_OFN3_o_cnt_2 (.Y(FE_OFN4_o_cnt_2), - .A(FE_OFN3_o_cnt_2)); - INVX1 FE_OFC7_o_cnt_1 (.Y(FE_OFN7_o_cnt_1), - .A(FE_OFN6_o_cnt_1)); - INVX1 FE_OFC1_o_cnt_3 (.Y(FE_OFN2_o_cnt_3), - .A(FE_OFN1_o_cnt_3)); - DFFRHQX1 \rstn_sync_reg[1] (.Q(rstn_sync[1]), - .D(rstn_sync[0]), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \rstn_sync_reg[0] (.Q(rstn_sync[0]), - .D(1'b1), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \cnt_reg[3] (.Q(FE_OFN0_o_cnt_3), - .D(n_9), - .RN(rstn_sync[1]), - .CK(i_clk)); - SDFFRHQX1 \cnt_reg[2] (.Q(FE_OFN3_o_cnt_2), - .D(n_6), - .SE(FE_OFN3_o_cnt_2), - .SI(n_7), - .RN(rstn_sync[1]), - .CK(i_clk)); - OAI31X1 g337__8780 (.Y(n_9), - .A0(FE_OFN0_o_cnt_3), - .A1(FE_OFN4_o_cnt_2), - .A2(n_7), - .B0(n_8)); - SDFFRHQX1 \cnt_reg[1] (.Q(FE_OFN5_o_cnt_1), - .D(FE_OFN5_o_cnt_1), - .SE(n_1), - .SI(n_4), - .RN(rstn_sync[1]), - .CK(i_clk)); - AOI22X1 g339__4296 (.Y(n_8), - .A0(FE_OFN2_o_cnt_3), - .A1(n_5), - .B0(FE_OFN5_o_cnt_1), - .B1(n_2)); - DFFRHQX1 \cnt_reg[0] (.Q(FE_OFN8_o_cnt_0), - .D(n_3), - .RN(rstn_sync[1]), - .CK(i_clk)); - OAI21X1 g342__3772 (.Y(n_5), - .A0(FE_OFN7_o_cnt_1), - .A1(FE_OFN4_o_cnt_2), - .B0(n_1)); - NAND2XL g343__1474 (.Y(n_7), - .A(FE_OFN5_o_cnt_1), - .B(n_1)); - NOR2X1 g344__4547 (.Y(n_4), - .A(FE_OFN5_o_cnt_1), - .B(n_2)); - AOI2BB1X1 g345__9682 (.Y(n_3), - .A0N(i_en), - .A1N(FE_OFN8_o_cnt_0), - .B0(n_1)); - AND2X1 g346__2683 (.Y(n_2), - .A(FE_OFN4_o_cnt_2), - .B(FE_OFN0_o_cnt_3)); - AND2X1 g347__1309 (.Y(n_1), - .A(i_en), - .B(FE_OFN8_o_cnt_0)); -endmodule - diff --git a/implementation/pnr/netlist/compteur.place.sdf b/implementation/pnr/netlist/compteur.place.sdf deleted file mode 100644 index fb73c3d..0000000 --- a/implementation/pnr/netlist/compteur.place.sdf +++ /dev/null @@ -1,287 +0,0 @@ -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "compteur") - (DATE "Tue Oct 8 12:57:15 2019") - (VENDOR "Cadence Design Systems, Inc.") - (PROGRAM "Innovus") - (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))") - (DIVIDER /) - (VOLTAGE 0.900000::0.900000) - (PROCESS "1.000000::1.000000") - (TEMPERATURE 125.000000::125.000000) - (TIMESCALE 1.0 ns) - - (CELL - (CELLTYPE "compteur") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT cnt_reg\[3\]/Q g337__8780/A0 (0.012::0.012) (0.012::0.012)) - (INTERCONNECT cnt_reg\[3\]/Q g339__4296/A0 (0.012::0.012) (0.012::0.012)) - (INTERCONNECT cnt_reg\[3\]/Q g346__2683/B (0.012::0.012) (0.012::0.012)) - (INTERCONNECT cnt_reg\[2\]/Q cnt_reg\[2\]/SE (0.008::0.008) (0.008::0.008)) - (INTERCONNECT cnt_reg\[2\]/Q g348/A (0.013::0.013) (0.013::0.013)) - (INTERCONNECT cnt_reg\[1\]/Q cnt_reg\[1\]/D (0.008::0.008) (0.008::0.008)) - (INTERCONNECT cnt_reg\[1\]/Q g339__4296/B0 (0.014::0.014) (0.014::0.014)) - (INTERCONNECT cnt_reg\[1\]/Q g342__3772/A0 (0.019::0.019) (0.019::0.019)) - (INTERCONNECT cnt_reg\[1\]/Q g343__1474/A (0.020::0.020) (0.020::0.020)) - (INTERCONNECT cnt_reg\[1\]/Q g344__4547/A (0.012::0.012) (0.012::0.012)) - (INTERCONNECT cnt_reg\[0\]/Q g345__9682/A1N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT cnt_reg\[0\]/Q g347__1309/B (0.003::0.003) (0.003::0.003)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[3\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[2\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[1\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[0\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[0\]/Q rstn_sync_reg\[1\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g348/Y g337__8780/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g348/Y g342__3772/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g348/Y g346__2683/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y cnt_reg\[1\]/SE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g342__3772/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g343__1474/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g345__9682/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g339__4296/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g344__4547/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g345__9682/Y cnt_reg\[0\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g344__4547/Y cnt_reg\[1\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g342__3772/Y g339__4296/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g341/Y cnt_reg\[2\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y cnt_reg\[2\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y g337__8780/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y g341/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g339__4296/Y g337__8780/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g337__8780/Y cnt_reg\[3\]/D (0.000::0.000) (0.000::0.000)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.072::0.072)) - (IOPATH CK Q (0.231::0.231) (0.202::0.202)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (::0.119) (::-0.073)) - (SETUPHOLD (negedge D) (posedge CK) (::0.059) (::0.013)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.106)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.076::0.076)) - (IOPATH CK Q (0.235::0.235) (0.207::0.207)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (::0.108) (::-0.062)) - (SETUPHOLD (negedge D) (posedge CK) (::0.049) (::0.021)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.106)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[3\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (6.799::6.799)) - (IOPATH CK Q (5.961::5.961) (6.939::6.939)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (::0.294) (::-0.239)) - (SETUPHOLD (negedge D) (posedge CK) (::0.342) (::-0.229)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.106)) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[2\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (6.802::6.802)) - (IOPATH CK Q (5.963::5.963) (6.943::6.943)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (::1.302) (::-1.210)) - (SETUPHOLD (negedge SI) (posedge CK) (::0.513) (::-0.394)) - (SETUPHOLD (posedge SE) (posedge CK) (::5.252) (::-4.979)) - (SETUPHOLD (negedge SE) (posedge CK) (::5.530) (::-4.803)) - (SETUPHOLD (posedge D) (posedge CK) (::0.275) (::-0.211)) - (SETUPHOLD (negedge D) (posedge CK) (::0.321) (::-0.217)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.101)) - ) - ) - - (CELL - (CELLTYPE "OAI31X1") - (INSTANCE g337__8780) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (6.056::6.056) (6.026::6.026)) - (IOPATH A1 Y (0.891::0.891) (1.156::1.156)) - (IOPATH A2 Y (0.488::0.488) (1.291::1.291)) - (IOPATH B0 Y (0.459::0.459) (0.808::0.808)) - ) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (6.810::6.810)) - (IOPATH CK Q (5.968::5.968) (6.950::6.950)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (::0.611) (::-0.538)) - (SETUPHOLD (negedge SI) (posedge CK) (::0.998) (::-0.844)) - (SETUPHOLD (posedge SE) (posedge CK) (::0.232) (::-0.114)) - (SETUPHOLD (negedge SE) (posedge CK) (::0.264) (::-0.072)) - (SETUPHOLD (posedge D) (posedge CK) (::5.521) (::-5.314)) - (SETUPHOLD (negedge D) (posedge CK) (::5.984) (::-5.503)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.101)) - ) - ) - - (CELL - (CELLTYPE "AOI22X1") - (INSTANCE g339__4296) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (6.667::6.667) (5.967::5.967)) - (IOPATH A1 Y (0.449::0.449) (0.527::0.527)) - (IOPATH B0 Y (6.858::6.858) (5.943::5.943)) - (IOPATH B1 Y (0.083::0.083) (0.089::0.089)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (6.805::6.805)) - (IOPATH CK Q (5.967::5.967) (6.945::6.945)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (::0.138) (::-0.090)) - (SETUPHOLD (negedge D) (posedge CK) (::0.086) (::-0.011)) - (WIDTH (posedge CK) (::0.091)) - (WIDTH (negedge CK) (::0.106)) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE g341) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.480::0.480) (1.296::1.296)) - ) - ) - ) - - (CELL - (CELLTYPE "OAI21X1") - (INSTANCE g342__3772) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (6.466::6.466) (5.966::5.966)) - (IOPATH A1 Y (0.927::0.927) (1.126::1.126)) - (IOPATH B0 Y (0.100::0.100) (0.136::0.136)) - ) - ) - ) - - (CELL - (CELLTYPE "NAND2X1") - (INSTANCE g343__1474) - (DELAY - (ABSOLUTE - (IOPATH A Y (7.171::7.171) (5.938::5.938)) - (IOPATH B Y (0.113::0.113) (0.151::0.151)) - ) - ) - ) - - (CELL - (CELLTYPE "NOR2X1") - (INSTANCE g344__4547) - (DELAY - (ABSOLUTE - (IOPATH A Y (6.430::6.430) (6.288::6.288)) - (IOPATH B Y (0.070::0.070) (0.063::0.063)) - ) - ) - ) - - (CELL - (CELLTYPE "AOI2BB1X1") - (INSTANCE g345__9682) - (DELAY - (ABSOLUTE - (IOPATH A0N Y (0.095::0.095) (0.116::0.116)) - (IOPATH A1N Y (5.031::5.031) (5.421::5.421)) - (IOPATH B0 Y (0.118::0.118) (0.104::0.104)) - ) - ) - ) - - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g346__2683) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.998::0.998) (0.797::0.797)) - (IOPATH B Y (5.288::5.288) (5.821::5.821)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKAND2X3") - (INSTANCE g347__1309) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.105::0.105) (0.097::0.097)) - (IOPATH B Y (4.588::4.588) (6.051::6.051)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX3") - (INSTANCE g348) - (DELAY - (ABSOLUTE - (IOPATH A Y (6.000::6.000) (5.210::5.210)) - ) - ) - ) -) diff --git a/implementation/pnr/netlist/compteur.place.v b/implementation/pnr/netlist/compteur.place.v deleted file mode 100644 index ff5eeeb..0000000 --- a/implementation/pnr/netlist/compteur.place.v +++ /dev/null @@ -1,99 +0,0 @@ -/* -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:57:15 2019 -# Design: compteur -# Command: saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.place.v -############################################################### -*/ -// Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1 -// Generated on: Oct 8 2019 12:54:55 EDT (Oct 8 2019 16:54:55 UTC) -// Verification Directory fv/compteur -module compteur ( - i_clk, - i_rstn, - i_en, - o_cnt); - input i_clk; - input i_rstn; - input i_en; - output [3:0] o_cnt; - - // Internal wires - wire [1:0] rstn_sync; - wire n_0; - wire n_1; - wire n_2; - wire n_3; - wire n_4; - wire n_5; - wire n_6; - wire n_7; - wire n_8; - wire n_9; - - DFFRHQX1 \rstn_sync_reg[1] (.Q(rstn_sync[1]), - .D(rstn_sync[0]), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \rstn_sync_reg[0] (.Q(rstn_sync[0]), - .D(1'b1), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \cnt_reg[3] (.Q(o_cnt[3]), - .D(n_9), - .RN(rstn_sync[1]), - .CK(i_clk)); - SDFFRHQX1 \cnt_reg[2] (.Q(o_cnt[2]), - .D(n_6), - .SE(o_cnt[2]), - .SI(n_7), - .RN(rstn_sync[1]), - .CK(i_clk)); - OAI31X1 g337__8780 (.Y(n_9), - .A0(o_cnt[3]), - .A1(n_0), - .A2(n_7), - .B0(n_8)); - SDFFRHQX1 \cnt_reg[1] (.Q(o_cnt[1]), - .D(o_cnt[1]), - .SE(n_1), - .SI(n_4), - .RN(rstn_sync[1]), - .CK(i_clk)); - AOI22X1 g339__4296 (.Y(n_8), - .A0(o_cnt[3]), - .A1(n_5), - .B0(o_cnt[1]), - .B1(n_2)); - DFFRHQX1 \cnt_reg[0] (.Q(o_cnt[0]), - .D(n_3), - .RN(rstn_sync[1]), - .CK(i_clk)); - INVX1 g341 (.Y(n_6), - .A(n_7)); - OAI21X1 g342__3772 (.Y(n_5), - .A0(o_cnt[1]), - .A1(n_0), - .B0(n_1)); - NAND2X1 g343__1474 (.Y(n_7), - .A(o_cnt[1]), - .B(n_1)); - NOR2X1 g344__4547 (.Y(n_4), - .A(o_cnt[1]), - .B(n_2)); - AOI2BB1X1 g345__9682 (.Y(n_3), - .A0N(i_en), - .A1N(o_cnt[0]), - .B0(n_1)); - AND2X1 g346__2683 (.Y(n_2), - .A(n_0), - .B(o_cnt[3])); - CLKAND2X3 g347__1309 (.Y(n_1), - .A(i_en), - .B(o_cnt[0])); - INVX3 g348 (.Y(n_0), - .A(o_cnt[2])); -endmodule - diff --git a/implementation/pnr/netlist/compteur.route.sdf b/implementation/pnr/netlist/compteur.route.sdf deleted file mode 100644 index 999119a..0000000 --- a/implementation/pnr/netlist/compteur.route.sdf +++ /dev/null @@ -1,375 +0,0 @@ -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "compteur") - (DATE "Tue Oct 8 12:58:27 2019") - (VENDOR "Cadence Design Systems, Inc.") - (PROGRAM "Innovus") - (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))") - (DIVIDER /) - (VOLTAGE 0.900000::0.900000) - (PROCESS "1.000000::1.000000") - (TEMPERATURE 125.000000::125.000000) - (TIMESCALE 1.0 ns) - - (CELL - (CELLTYPE "compteur") - (INSTANCE) - (DELAY - (ABSOLUTE - (INTERCONNECT cnt_reg\[0\]/Q g345__9682/A1N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[0\]/Q g347__1309/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[0\]/Q FE_OFC26_FE_OFN8_o_cnt_0/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC7_o_cnt_1/Y g342__3772/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC22_FE_OFN5_o_cnt_1/Y FE_OFC7_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g339__4296/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g344__4547/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q cnt_reg\[1\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q g343__1474/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q FE_OFC22_FE_OFN5_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[1\]/Q FE_OFC23_FE_OFN5_o_cnt_1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y FE_OFC21_FE_OFN3_o_cnt_2/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g337__8780/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g346__2683/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC20_FE_OFN3_o_cnt_2/Y g342__3772/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[2\]/Q cnt_reg\[2\]/SE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[2\]/Q FE_OFC20_FE_OFN3_o_cnt_2/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC1_o_cnt_3/Y g339__4296/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC24_FE_OFN0_o_cnt_3/Y FE_OFC1_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q g337__8780/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q g346__2683/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q FE_OFC24_FE_OFN0_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT cnt_reg\[3\]/Q FE_OFC25_FE_OFN0_o_cnt_3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[3\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[2\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[1\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[1\]/Q cnt_reg\[0\]/RN (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rstn_sync_reg\[0\]/Q rstn_sync_reg\[1\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y cnt_reg\[1\]/SE (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g342__3772/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g343__1474/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g347__1309/Y g345__9682/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g339__4296/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g346__2683/Y g344__4547/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g345__9682/Y cnt_reg\[0\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g344__4547/Y cnt_reg\[1\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g342__3772/Y g339__4296/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT FE_OFC27_n_7/Y cnt_reg\[2\]/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y g337__8780/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y cnt_reg\[2\]/SI (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g343__1474/Y FE_OFC27_n_7/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g339__4296/Y g337__8780/B0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT g337__8780/Y cnt_reg\[3\]/D (0.000::0.000) (0.000::0.000)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC27_n_7) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127::0.127) (0.077::0.077)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC26_FE_OFN8_o_cnt_0) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.408::0.408) (0.480::0.480)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC25_FE_OFN0_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.415::0.415) (0.487::0.487)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC24_FE_OFN0_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.071::0.071) (0.073::0.073)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE FE_OFC23_FE_OFN5_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.424::0.424) (0.498::0.498)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC22_FE_OFN5_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.092::0.092) (0.093::0.093)) - ) - ) - ) - - (CELL - (CELLTYPE "CLKINVX20") - (INSTANCE FE_OFC21_FE_OFN3_o_cnt_2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.380::0.380) (0.459::0.459)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX2") - (INSTANCE FE_OFC20_FE_OFN3_o_cnt_2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.095::0.095) (0.102::0.102)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC7_o_cnt_1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042::0.042) (0.044::0.044)) - ) - ) - ) - - (CELL - (CELLTYPE "INVX1") - (INSTANCE FE_OFC1_o_cnt_3) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.036::0.036) (0.038::0.038)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.072::0.072)) - (IOPATH CK Q (0.242::0.242) (0.213::0.213)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.135::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (0.113::0.113) (-0.067::-0.067)) - (SETUPHOLD (negedge D) (posedge CK) (0.046::0.046) (0.026::0.026)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.165::0.165)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.079::0.079)) - (IOPATH CK Q (0.249::0.249) (0.221::0.221)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.135::0.135)) - (SETUPHOLD (posedge D) (posedge CK) (0.100::0.100) (-0.054::-0.054)) - (SETUPHOLD (negedge D) (posedge CK) (0.034::0.034) (0.036::0.036)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.165::0.165)) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[3\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.111::0.111)) - (IOPATH CK Q (0.286::0.286) (0.261::0.261)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.081::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (0.157::0.157) (-0.073::-0.073)) - (SETUPHOLD (negedge D) (posedge CK) (0.078::0.078) (0.009::0.009)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.165::0.165)) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[2\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.088::0.088)) - (IOPATH CK Q (0.266::0.266) (0.239::0.239)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.081::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (0.233::0.233) (-0.164::-0.164)) - (SETUPHOLD (negedge SI) (posedge CK) (0.208::0.208) (-0.110::-0.110)) - (SETUPHOLD (posedge SE) (posedge CK) (0.187::0.187) (-0.066::-0.066)) - (SETUPHOLD (negedge SE) (posedge CK) (0.218::0.218) (-0.026::-0.026)) - (SETUPHOLD (posedge D) (posedge CK) (0.211::0.211) (-0.149::-0.149)) - (SETUPHOLD (negedge D) (posedge CK) (0.130::0.130) (-0.038::-0.038)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.161::0.161)) - ) - ) - - (CELL - (CELLTYPE "OAI31X1") - (INSTANCE g337__8780) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.159::0.159) (0.146::0.146)) - (IOPATH A1 Y (0.165::0.165) (0.146::0.146)) - (IOPATH A2 Y (0.177::0.177) (0.117::0.117)) - (IOPATH B0 Y (0.080::0.080) (0.125::0.125)) - ) - ) - ) - - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[1\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.131::0.131)) - (IOPATH CK Q (0.305::0.305) (0.281::0.281)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.081::0.081)) - (SETUPHOLD (posedge SI) (posedge CK) (0.210::0.210) (-0.147::-0.147)) - (SETUPHOLD (negedge SI) (posedge CK) (0.140::0.140) (-0.038::-0.038)) - (SETUPHOLD (posedge SE) (posedge CK) (0.196::0.196) (-0.074::-0.074)) - (SETUPHOLD (negedge SE) (posedge CK) (0.227::0.227) (-0.032::-0.032)) - (SETUPHOLD (posedge D) (posedge CK) (0.249::0.249) (-0.184::-0.184)) - (SETUPHOLD (negedge D) (posedge CK) (0.176::0.176) (-0.080::-0.080)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.161::0.161)) - ) - ) - - (CELL - (CELLTYPE "AOI22X1") - (INSTANCE g339__4296) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.090::0.090) (0.105::0.105)) - (IOPATH A1 Y (0.113::0.113) (0.127::0.127)) - (IOPATH B0 Y (0.129::0.129) (0.126::0.126)) - (IOPATH B1 Y (0.068::0.068) (0.069::0.069)) - ) - ) - ) - - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[0\]) - (DELAY - (ABSOLUTE - (IOPATH RN Q () (0.103::0.103)) - (IOPATH CK Q (0.279::0.279) (0.253::0.253)) - ) - ) - (TIMINGCHECK - (WIDTH (negedge RN) (0.081::0.081)) - (SETUPHOLD (posedge D) (posedge CK) (0.128::0.128) (-0.079::-0.079)) - (SETUPHOLD (negedge D) (posedge CK) (0.055::0.055) (0.023::0.023)) - (WIDTH (posedge CK) (0.152::0.152)) - (WIDTH (negedge CK) (0.165::0.165)) - ) - ) - - (CELL - (CELLTYPE "OAI21X1") - (INSTANCE g342__3772) - (DELAY - (ABSOLUTE - (IOPATH A0 Y (0.076::0.076) (0.091::0.091)) - (IOPATH A1 Y (0.120::0.120) (0.125::0.125)) - (IOPATH B0 Y (0.063::0.063) (0.105::0.105)) - ) - ) - ) - - (CELL - (CELLTYPE "NAND2XL") - (INSTANCE g343__1474) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.133::0.133) (0.205::0.205)) - (IOPATH B Y (0.094::0.094) (0.164::0.164)) - ) - ) - ) - - (CELL - (CELLTYPE "NOR2X1") - (INSTANCE g344__4547) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.114::0.114) (0.102::0.102)) - (IOPATH B Y (0.055::0.055) (0.042::0.042)) - ) - ) - ) - - (CELL - (CELLTYPE "AOI2BB1X1") - (INSTANCE g345__9682) - (DELAY - (ABSOLUTE - (IOPATH A0N Y (0.096::0.096) (0.117::0.117)) - (IOPATH A1N Y (0.112::0.112) (0.131::0.131)) - (IOPATH B0 Y (0.087::0.087) (0.071::0.071)) - ) - ) - ) - - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g346__2683) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.172::0.172) (0.121::0.121)) - (IOPATH B Y (0.172::0.172) (0.113::0.113)) - ) - ) - ) - - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g347__1309) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.149::0.149) (0.095::0.095)) - (IOPATH B Y (0.184::0.184) (0.128::0.128)) - ) - ) - ) -) diff --git a/implementation/pnr/netlist/compteur.route.v b/implementation/pnr/netlist/compteur.route.v deleted file mode 100644 index a847397..0000000 --- a/implementation/pnr/netlist/compteur.route.v +++ /dev/null @@ -1,123 +0,0 @@ -/* -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:58:26 2019 -# Design: compteur -# Command: saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.route.v -############################################################### -*/ -// Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1 -// Generated on: Oct 8 2019 12:54:55 EDT (Oct 8 2019 16:54:55 UTC) -// Verification Directory fv/compteur -module compteur ( - i_clk, - i_rstn, - i_en, - o_cnt); - input i_clk; - input i_rstn; - input i_en; - output [3:0] o_cnt; - - // Internal wires - wire FE_OFN8_o_cnt_0; - wire FE_OFN7_o_cnt_1; - wire FE_OFN6_o_cnt_1; - wire FE_OFN5_o_cnt_1; - wire FE_OFN4_o_cnt_2; - wire FE_OFN3_o_cnt_2; - wire FE_OFN2_o_cnt_3; - wire FE_OFN1_o_cnt_3; - wire FE_OFN0_o_cnt_3; - wire [1:0] rstn_sync; - wire n_1; - wire n_2; - wire n_3; - wire n_4; - wire n_5; - wire n_6; - wire n_7; - wire n_8; - wire n_9; - - INVX1 FE_OFC27_n_7 (.Y(n_6), - .A(n_7)); - CLKBUFX20 FE_OFC26_FE_OFN8_o_cnt_0 (.Y(o_cnt[0]), - .A(FE_OFN8_o_cnt_0)); - CLKBUFX20 FE_OFC25_FE_OFN0_o_cnt_3 (.Y(o_cnt[3]), - .A(FE_OFN0_o_cnt_3)); - INVX1 FE_OFC24_FE_OFN0_o_cnt_3 (.Y(FE_OFN1_o_cnt_3), - .A(FE_OFN0_o_cnt_3)); - CLKBUFX20 FE_OFC23_FE_OFN5_o_cnt_1 (.Y(o_cnt[1]), - .A(FE_OFN5_o_cnt_1)); - INVX1 FE_OFC22_FE_OFN5_o_cnt_1 (.Y(FE_OFN6_o_cnt_1), - .A(FE_OFN5_o_cnt_1)); - CLKINVX20 FE_OFC21_FE_OFN3_o_cnt_2 (.Y(o_cnt[2]), - .A(FE_OFN4_o_cnt_2)); - INVX2 FE_OFC20_FE_OFN3_o_cnt_2 (.Y(FE_OFN4_o_cnt_2), - .A(FE_OFN3_o_cnt_2)); - INVX1 FE_OFC7_o_cnt_1 (.Y(FE_OFN7_o_cnt_1), - .A(FE_OFN6_o_cnt_1)); - INVX1 FE_OFC1_o_cnt_3 (.Y(FE_OFN2_o_cnt_3), - .A(FE_OFN1_o_cnt_3)); - DFFRHQX1 \rstn_sync_reg[1] (.Q(rstn_sync[1]), - .D(rstn_sync[0]), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \rstn_sync_reg[0] (.Q(rstn_sync[0]), - .D(1'b1), - .RN(i_rstn), - .CK(i_clk)); - DFFRHQX1 \cnt_reg[3] (.Q(FE_OFN0_o_cnt_3), - .D(n_9), - .RN(rstn_sync[1]), - .CK(i_clk)); - SDFFRHQX1 \cnt_reg[2] (.Q(FE_OFN3_o_cnt_2), - .D(n_6), - .SE(FE_OFN3_o_cnt_2), - .SI(n_7), - .RN(rstn_sync[1]), - .CK(i_clk)); - OAI31X1 g337__8780 (.Y(n_9), - .A0(FE_OFN0_o_cnt_3), - .A1(FE_OFN4_o_cnt_2), - .A2(n_7), - .B0(n_8)); - SDFFRHQX1 \cnt_reg[1] (.Q(FE_OFN5_o_cnt_1), - .D(FE_OFN5_o_cnt_1), - .SE(n_1), - .SI(n_4), - .RN(rstn_sync[1]), - .CK(i_clk)); - AOI22X1 g339__4296 (.Y(n_8), - .A0(FE_OFN2_o_cnt_3), - .A1(n_5), - .B0(FE_OFN5_o_cnt_1), - .B1(n_2)); - DFFRHQX1 \cnt_reg[0] (.Q(FE_OFN8_o_cnt_0), - .D(n_3), - .RN(rstn_sync[1]), - .CK(i_clk)); - OAI21X1 g342__3772 (.Y(n_5), - .A0(FE_OFN7_o_cnt_1), - .A1(FE_OFN4_o_cnt_2), - .B0(n_1)); - NAND2XL g343__1474 (.Y(n_7), - .A(FE_OFN5_o_cnt_1), - .B(n_1)); - NOR2X1 g344__4547 (.Y(n_4), - .A(FE_OFN5_o_cnt_1), - .B(n_2)); - AOI2BB1X1 g345__9682 (.Y(n_3), - .A0N(i_en), - .A1N(FE_OFN8_o_cnt_0), - .B0(n_1)); - AND2X1 g346__2683 (.Y(n_2), - .A(FE_OFN4_o_cnt_2), - .B(FE_OFN0_o_cnt_3)); - AND2X1 g347__1309 (.Y(n_1), - .A(i_en), - .B(FE_OFN8_o_cnt_0)); -endmodule - diff --git a/implementation/pnr/reports/compteur.con.rpt b/implementation/pnr/reports/compteur.con.rpt deleted file mode 100644 index 94a6f07..0000000 --- a/implementation/pnr/reports/compteur.con.rpt +++ /dev/null @@ -1,18 +0,0 @@ -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:58:26 2019 -# Design: compteur -# Command: verifyConnectivity -type all -error 1000 -warning 50 -report /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/reports/compteur.con.rpt -############################################################### -Verify Connectivity Report is created on Tue Oct 8 12:58:26 2019 - - - -Net VSS: dangling Wire at (16.300, 1.140) (16.300, 1.140) on layer: Metal1 -Net VSS: dangling Wire at (16.300, 11.400) (16.300, 11.400) on layer: Metal1 - -Begin Summary - 2 Problem(s) (IMPVFC-94): The net has dangling wire(s). - 2 total info(s) created. -End Summary diff --git a/implementation/pnr/reports/compteur.drc.rpt b/implementation/pnr/reports/compteur.drc.rpt deleted file mode 100644 index 90d4955..0000000 --- a/implementation/pnr/reports/compteur.drc.rpt +++ /dev/null @@ -1,11 +0,0 @@ -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:58:26 2019 -# Design: compteur -# Command: verify_drc -############################################################### -#set_verify_drc_mode -report /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/reports/compteur.drc.rpt - -No DRC violations were found - diff --git a/implementation/pnr/reports/compteur.dyn.rpt b/implementation/pnr/reports/compteur.dyn.rpt deleted file mode 100644 index 72e06bc..0000000 --- a/implementation/pnr/reports/compteur.dyn.rpt +++ /dev/null @@ -1,86 +0,0 @@ -*---------------------------------------------------------------------------------------- -* Voltus Power Analysis - Power Calculator - Version v16.16-s020_1 64-bit (05/03/2017 02:27:51) -* Copyright 2007, Cadence Design Systems, Inc. -* -* Date & Time: 2019-Oct-08 13:02:14 (2019-Oct-08 17:02:14 GMT) -* -*---------------------------------------------------------------------------------------- -* -* Design: compteur -* -* Liberty Libraries used: -* /CMC/kits/GPDK45/gsclib045/gsclib045/timing/slow_vdd1v0_basicCells.lib -* -* Power Domain used: -* Rail: VDD Voltage: 0.9 -* -* DEF Files used: -* /tmp/ssv_tmpdir_26627_p5AEVU/eps_out_26627.def.gz -* -* Switching Activity File used: -* /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/simulation/pnr/compteur.vcd -* Vcd Window used(Start Time, Stop Time): (0,54.375) -* Vcd Scale Factor: 1 -* * Design annotation coverage: 27/27 = 100% -* -* Hierarchical Global Activity: N.A. -* -* Global Activity: N.A. -* -* Sequential Element Activity: N.A. -* -* Primary Input Activity: 0.200000 -* -* Default icg ratio: N.A. -* -* Global Comb ClockGate Ratio: N.A. -* -* Power Units = 1mW -* -* Time Units = 1e-09 secs -* -* report_power -output /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/reports/power -format detailed -report_prefix compteur.dyn -* ------------------------------------------------------------------------------------------ - - -Total Power ------------------------------------------------------------------------------------------ -Total Internal Power: 0.02796512 12.0684% -Total Switching Power: 0.20375353 87.9300% -Total Leakage Power: 0.00000367 0.0016% -Total Power: 0.23172233 ------------------------------------------------------------------------------------------ - - -Group Internal Switching Leakage Total Percentage - Power Power Power Power (%) ------------------------------------------------------------------------------------------ -Sequential 0.01725 0.001124 8.766e-07 0.01837 7.928 -Macro 0 0 0 0 0 -IO 0 0 0 0 0 -Combinational 0.01072 0.2026 2.793e-06 0.2134 92.07 -Clock (Combinational) 0 0 0 0 0 -Clock (Sequential) 0 0 0 0 0 ------------------------------------------------------------------------------------------ -Total 0.02797 0.2038 3.669e-06 0.2317 100 ------------------------------------------------------------------------------------------ - - -Rail Voltage Internal Switching Leakage Total Percentage - Power Power Power Power (%) ------------------------------------------------------------------------------------------ -VDD 0.9 0 0 0 0 0 - - ------------------------------------------------------------------------------------------ -* Power Distribution Summary: -* Highest Average Power: FE_OFC26_FE_OFN8_o_cnt_0 (CLKBUFX20): 0.1113 -* Highest Leakage Power: FE_OFC25_FE_OFN0_o_cnt_3 (CLKBUFX20): 6.246e-07 -* Total Cap: 2.03147e-12 F -* Total instances in design: 24 -* Total instances in design with no power: 0 -* Total instances in design with no activity: 0 -* Total Fillers and Decap: 0 ------------------------------------------------------------------------------------------ - diff --git a/implementation/pnr/reports/compteur.stat.rpt b/implementation/pnr/reports/compteur.stat.rpt deleted file mode 100644 index 29e4426..0000000 --- a/implementation/pnr/reports/compteur.stat.rpt +++ /dev/null @@ -1,83 +0,0 @@ -*---------------------------------------------------------------------------------------- -* Voltus IC Power Integrity Solution 16.16-s051_1 (64bit) 05/16/2017 12:06 (Linux 2.6.18-194.el5) -* -* -* Date & Time: 2019-Oct-08 13:03:48 (2019-Oct-08 17:03:48 GMT) -* -*---------------------------------------------------------------------------------------- -* -* Design: compteur -* -* Liberty Libraries used: -* slow_av: /CMC/kits/GPDK45/gsclib045/gsclib045/timing/slow_vdd1v0_basicCells.lib -* -* Power Domain used: -* Rail: VDD Voltage: 0.9 -* -* Power View : slow_av -* -* User-Defined Activity : N.A. -* -* Activity File: N.A. -* -* Hierarchical Global Activity: N.A. -* -* Global Activity: N.A. -* -* Sequential Element Activity: N.A. -* -* Primary Input Activity: 0.200000 -* -* Default icg ratio: N.A. -* -* Global Comb ClockGate Ratio: N.A. -* -* Power Units = 1mW -* -* Time Units = 1e-09 secs -* -* report_power -output /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/reports/power -report_prefix compteur.stat -format detailed -* ------------------------------------------------------------------------------------------ - - -Total Power ------------------------------------------------------------------------------------------ -Total Internal Power: 0.02651278 12.1526% -Total Switching Power: 0.19164970 87.8458% -Total Leakage Power: 0.00000367 0.0017% -Total Power: 0.21816615 ------------------------------------------------------------------------------------------ - - -Group Internal Switching Leakage Total Percentage - Power Power Power Power (%) ------------------------------------------------------------------------------------------ -Sequential 0.01681 0.001026 9.016e-07 0.01783 8.174 -Macro 0 0 0 0 0 -IO 0 0 0 0 0 -Combinational 0.009707 0.1906 2.772e-06 0.2003 91.83 -Clock (Combinational) 0 0 0 0 0 -Clock (Sequential) 0 0 0 0 0 ------------------------------------------------------------------------------------------ -Total 0.02651 0.1916 3.674e-06 0.2182 100 ------------------------------------------------------------------------------------------ - - -Rail Voltage Internal Switching Leakage Total Percentage - Power Power Power Power (%) ------------------------------------------------------------------------------------------ -VDD 0.9 0.02651 0.1916 3.674e-06 0.2182 100 - - ------------------------------------------------------------------------------------------ -* Power Distribution Summary: -* Highest Average Power: FE_OFC23_FE_OFN5_o_cnt_1 (CLKBUFX20): 0.06309 -* Highest Leakage Power: FE_OFC23_FE_OFN5_o_cnt_1 (CLKBUFX20): 6.197e-07 -* Total Cap: 2.02968e-12 F -* Total instances in design: 24 -* Total instances in design with no power: 0 -* Total instances in design with no activity: 0 -* Total Fillers and Decap: 0 ------------------------------------------------------------------------------------------ - diff --git a/implementation/pnr/reports/compteur.timing.rpt b/implementation/pnr/reports/compteur.timing.rpt deleted file mode 100644 index a05c584..0000000 --- a/implementation/pnr/reports/compteur.timing.rpt +++ /dev/null @@ -1,34 +0,0 @@ -############################################################### -# Generated by: Cadence Innovus 18.10-p002_1 -# OS: Linux x86_64(Host ID pcys41) -# Generated on: Tue Oct 8 12:58:26 2019 -# Design: compteur -# Command: report_timing > $::env(PNR_REP_DIR)/${DESIGN}.timing.rpt -############################################################### -Path 1: MET Late External Delay Assertion -Endpoint: o_cnt[2] (v) checked with leading edge of 'clk' -Beginpoint: cnt_reg[2]/Q (v) triggered by leading edge of 'clk' -Path Groups: {clk} -Analysis View: slow_av -Other End Arrival Time 0.000 -- External Delay 0.200 -+ Phase Shift 1.250 -+ CPPR Adjustment 0.000 -- Uncertainty 0.100 -= Required Time 0.950 -- Arrival Time 0.796 -= Slack Time 0.154 - Clock Rise Edge 0.000 - + Clock Network Latency (Prop) -0.000 - = Beginpoint Arrival Time -0.000 - +---------------------------------------------------------------------------------+ - | Instance | Arc | Cell | Delay | Arrival | Required | - | | | | | Time | Time | - |--------------------------+-------------+-----------+-------+---------+----------| - | cnt_reg[2] | CK ^ | | | -0.000 | 0.154 | - | cnt_reg[2] | CK ^ -> Q v | SDFFRHQX1 | 0.239 | 0.239 | 0.393 | - | FE_OFC20_FE_OFN3_o_cnt_2 | A v -> Y ^ | INVX2 | 0.095 | 0.334 | 0.488 | - | FE_OFC21_FE_OFN3_o_cnt_2 | A ^ -> Y v | CLKINVX20 | 0.459 | 0.794 | 0.947 | - | | o_cnt[2] v | | 0.003 | 0.796 | 0.950 | - +---------------------------------------------------------------------------------+ - diff --git a/implementation/pnr/reports/timing/compteur_postCTS.cap.gz b/implementation/pnr/reports/timing/compteur_postCTS.cap.gz deleted file mode 100644 index 0adb804096218d78b2ce6a1a0dc7b23358382471..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 448 zcmV;x0YCm9iwFSzznonF1HF??kJB&^hVS_mBlWV2)YwkaQhFq%P_eYtc0nM7ER)VI zhWG==S!Mq{PB!f}aNtnENRe#M`(~a@#-q_$5sd&|qedq@?&0gh9J<KG9(94R(%RhD z6|h;%=-5)4aMoHjyI%MdS5nsvG_xt6W*2Wv<zck|TRc=5yBt<Ax;Nj{2%EwK%z!2H z$@6)dK|<M!R=&VW-swS=!YJ#pc5OO0N(sF`&3mcv(FJ20>gP8*7@OKJqyvJDHO>>S zEV-4&q4rW6vUTP=7QQ0B_QpvpxvmvD`LjlHs79o+C92>MUK&lTb|jRN`Yt-J^=<Fh z=z)YTSAHw??;SnHJJICA9{!9S<idVeisHx90hZ-9eCw&`8kKOJBpOAA7utWOU!r!u ze8o4*Jel%tv7YfR%PILZM4)j>>2W<duG<*XI2jz|S8rdwUw%yfcuU6uJwZAknDhj+ zCrJMTK{6m<Jwe(NB<B*W)*t>xzy<_qPcZ2T*gx$-^i1koGY9_-9fAl?21+!%2orWM qP1%im1z|3O0`}d_iVvpjRML7KiV`l|<Dgw%#?dcT0H2nb1ONaw57_wt diff --git a/implementation/pnr/reports/timing/compteur_postCTS.fanout.gz b/implementation/pnr/reports/timing/compteur_postCTS.fanout.gz deleted file mode 100644 index 2cff00f7cc0d53aec779673cca11586f8d8f350c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 325 zcmV-L0lNMliwFSyznonF1Eo;GQo=A0z4t42hKo7_X#o*?Eu%7n!iaY!whKm^O-wgT z`Fqn^QM~d_yV>{lWnZs%7NQ=&JrX*}SitKcg%+7CkaC1Mk=gqSlIb9c`!<e;o1~E} z*B$W}3q`($dOF!m#upE!Vwlgs=7(yWT%NiZ>clS|VVN_)6p~?jeUpwxFpQJihCahe z?dZfMH`+3K*NiixwWQ+joR!9J74&WJ&tBHhH=bw8fxy}t$AYyLTV)&)D>7o`%sb{> z3HEH9!g51iqf?(A#gCg%+Low;Lb5U>EIARZ6z!t(qHnT)LIx31u6U*Bf1*b`%KA>y z!pH*PSaj(6b@|afWLTD~aO=s2XVlWQjHnkySBkbK1%5+^pel$#%Nn+lLRwHZ+xN<p X?YR)X6?jmz!49G?u%!6eZvp@S_RXNX diff --git a/implementation/pnr/reports/timing/compteur_postCTS.length.gz b/implementation/pnr/reports/timing/compteur_postCTS.length.gz deleted file mode 100644 index 496f714cd303444a9e788a663f7f3f1d1377ea98..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 240 zcmV<M01y8kiwFSzznonF1Er8bOT$1Ah41+l1G(rSZZ^gmb4@@CLWTAo;$|!>-I;YW zE9t*C(TeumcYW{UgO{ckB}oAukudav1H2w<_)gOgNCsg|#Gi2lUESzB^Lbu$dO<eZ z)9^3Pmf{3cwdz*o)f0~b>lVB@j-|dnw=kXHT}0Rz0jNM1_1%4aTSAfRhh^U4X!mqx zG3>lx3`^axb3G0Jd$A6GD9HTmZ+2VAI7({+D4aY8QR2LMvmB7b604WM?`ULH5`}}s qLr2kJupbfCuT5F!59ns17t5qP1vRTI?UT!{Pm?c{y2i)60RR9?_;S$z diff --git a/implementation/pnr/reports/timing/compteur_postCTS.summary.gz b/implementation/pnr/reports/timing/compteur_postCTS.summary.gz deleted file mode 100644 index ab65e97c07cf973f78b75cf82334d4da6adf0b9a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 549 zcmV+=0^0o_iwFP!00000|E*QqYU3~vefL)kghE0|EIZDnep?dQ7M7;OA$<&5XOe2M zC9f=X6UfJ}e96VF+bs1C7{+tv%+ZWwxz%c9Rtw-ea+Flz8h-A5$ZbN`$U}q&&c&-v z!5Q})(oG0)S58Km1!dydelV_gup19oL$7@=QUwoFNWy*UIi0GC;3avX5oVzR7=z>b zgOT6wgG-#dEPaY8+wzJ^DB?t+mKi(|aZLI85?3t7f(6~g=uZ{_bcI$^Cc(yCBBZia zoY)&CByz>Lu%D#(g`rAqrInBjqZQXNO7>f$eN?kqoJ1HK231UOJK@qcQjBk{W}|Oe zR=0s!wVemr%th|sZcfFT$y&E{;oHwW>yRr4sB{9cSY!U01!)xJp2V$d9^<WXLv^I! z&_t=Q=f?orJni@<NP5n|%{58SC8N?SVinS&LL!8esc*f4v}7VO2ZL|niK>^>&x7RH z-8=76>6>Z&OmarYRHuI;-4&!S4dOkkw#?^vb)KhPyRbRZs1{!cbwtXxr}Jfc%&OD6 zvX(>bS{@~ERB2nGjMGjQdKS{$K4xR;@qe1jwY3y;iRo??(xlS22W_u&F^X<>+{g#` zsfv=6U#a4G!)sMGl$#6hoJF8bE~}KMupU2EiI8vA%U7%XpXpjt<SA3;e>52PMq}^B nnw!qD&usRJaubO^e*Piez&)7%K<O`=U2T5>e9e<#Oa}k}fn5>9 diff --git a/implementation/pnr/reports/timing/compteur_postCTS.tran.gz b/implementation/pnr/reports/timing/compteur_postCTS.tran.gz deleted file mode 100644 index 4c71f7fb22a527e195cbffbb4c8071b66302cf84..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 497 zcmV<N0S^8jiwFSyznonF1ErH~Z__Xk$KU5uoYXfPQtLc8Y3NH*3KgTR)`CC?MJBxt zLt+QVnX+#`CvnxKL!xLWMUL<8@B8_59*tgP&IsTg3RFzv9)3K`ptFSSQDg{9A>@5s zffr9acU-!zpL(riy|sxayWyg4poyb2qF3)_rC~XTGJB|~cRj2kg%$5=gmtC?V(|P~ zIGqIn_^$W5O`l`M@5G==CW}&It=e!Ri-L*$)41jZ_9_^crhc;9!dTXN&J_@BN~tu_ zMM(}^DioRvNj6IU!c130*IFu$c`E7x75`HsIaVXQD03`~f@v-VDTN}Y6c=~S%Ua*| zeuWx{>2jqvT%33G%s#Sar<mB8Yufe=cGj`YS@!$rNHEVg=H7#IZn0phOL0byOf#Xs z`QM#(zj&Lj7m4qs-OnmWyJ+f@uV*Ok#E)G?y5-P2LON|7Q$Ok)V-t&v$E=jLuH@#! za`pL(?>;-MMFI=r4nQIc5?hex+P{GWVF%)!K*F#G3Bn%a&8Nk`K!O2CXhB>H5?lsC zdyw!1LjN1Y8-N5BB(xyjWgtNhLQf!p1)&#!IIp-k$QkILs1TU>C={$owHY_K=Q8ge nMg?YqO$zM0H#j+}=+l%|tdQk!rTQtny`DIaH|3Ks!vp{T*G=r~ diff --git a/implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz b/implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz deleted file mode 100644 index dcef80200e549cfeb54c68ffc61276c9948fcd27..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4537 zcmV;q5k~GGiwFP!000001MOXFZ`(!|{qA2uARpQyuq{6H3Xl(uowPx-NgAg`i()Hy zZPrn1Q?4FqjP?HaJ@dFTcZQ@iqGTm5NFbRpIlS|_k27aT@zJA=j=e`w^le_`)3bSg z5&h@(NhFWsvx~eq&!d+`G5NTdMe*Pu?(E-mI>{&&x4b#k1yynJ|EqDa_!NB_^hUkx z>+dGBdGzumx;ej{W$`y|Et5ju{C<%~Z_ejYG>GElNw@zb&7!0e4@L2ld^WzMf~uDD z$@R@VUrfd2<H_~)S#iNzF&|&&>aJ-22K67mKaKV$i}}fT8Xe}JZYI<D;r#mM@b~d# zniunNF*$rYo&1rX&u548#e6ay=U1a*ah*@ce=YJus_k%meRGvx<BqfWcv2kR6w^ag zH7+jqHX8XykM`c4%|Ar(ljvX1-$$=70nzhM^L$#IU7`0^XSdPOY?e<&rP1E=;^Jm9 zF6N@clhJuG|26ry9F?y>eiNO4$j|@8^!+`Cyyz-FyFlxr{Ngf?Ccj5tonQU=)!wuG za$IN;xP3IuFCpUahe!yRPsf*+`BZ8^odDU`Z>N*R&Fo3^U&#F5-VvI5I~&iUpT_y$ zPomk?<nPhh$Gtc65BW4gi=(6Ibo>$Bd5@`4b144r9CSLJy?wv8wt&oa4ic1l9KHQ; zHp`>a598mVD5WDlNV-DSkI>fX{0t*>TPh=Jj3Mi3^e+EvF&5o)8>H{(91Nwb>Q<B3 z&Ov`TfUMK2v-3Zz1)(Tv>?V8ip5v<t3ceeo7th6%R32(f<fX^a2}UiCjxPRK%;rMz zD((>FyGs7!=ybA}N@FE;QR>5P+ZW|~s{GT)>O##7MY4QH%iq7(<8h>_xns?Z-i{0Y zXLP5Aj>^2wX-veK<NWGMl)lqub7y*WXJ`Dbsv4&bDM6m0YV2ixhVn?Y8i_L|t9!F- z%pR+YI$<UG8Ol?-zi)H<wm0JuOwl%!=g_R*@b_Khm!Ter!jwm<CS9G8`im^h4RaaC z-}6eOgt}@@PhPxu_uUUa$B-m7Tne{2WhT|t{NUCi?))g*`{McN&5PIRNV>sMf(vdn zNjlKgP!83kL(vB6jTCX5bVi=6!=q)@ynOxB&xvf6+ne>P`9)Nt22HgfW4-aJ8D_dS zX)5}PqjQiAy2yIHiK}~4SIzOO?`2ILNU}46kxJ7wtC77?bK`_2Ia_WuS(kd#AM~i2 zVLD{J@kPi1SN|Cm@XOpq6s?6iqBpUaZ81{t)ux)R=&BktW=hQbww8rYli@OH7~A8` zV|(1jcBVPdguvK72#W2!HnxWtOB>tmC~=oVc}jO4+j}CEQ2e0S4wtdz*j`u7irC)O z(NH*XFShqZs1S}l(K%$ZjQ2*z_EbkhBdZ+S%_WFLn0of(i=Pue8m?bWDH>{Lj#m5C zWW&DhO~0>l!cSF1Lo0&$G%p(3GE1W2;B!X9v|%(%H;;yC8x5J}ghxZHVFOmWSPx6- z?Mm0W`-)r}4eg-V$Y*1pJ!f+}S?S6(f*%dxGS-NOW}yp*&R0`lx}=N8$+)hX716Mx zqoG)qxz!{+B^=RE=TJ@76+WJNqoZM0M?)j491YDS++7<D*RG}%4Yf0`iiQK~O<#sU z6cN#o_eQPztO(}Qyl804l%t_qMq_Q*H4ADi4$Po2<+J*_y&SC#GZhU_^ZDW?Iu;DB z;%^1@MTiUpEp*T!f7zvz=<DB_lfNAq5+PuH@)z83f`1zmz^d<T5}hoxI^H<}oCz{{ zB>|jf0bw!e^#2)Q5!9yGPY{g86V;=8FcB{}S_1eUsb8^@z@ZcMN9hb*MQS)+mer2d z_YZo6$^*sEP@Ym73L(z=aF4!4L+bce+XdEYm)3<=8czq;sCR<k3`DS7BHE2S6xM90 zgRia?Edrbotq9M74r;>fx}s^t<u36Y!t*lC`lC^tc7}(~mWw`meUgBEmW6Cv!$kkW zMHeF}MM#nd_81(|@j$Vrh`&9>Jz_w5Js!N!>J+Wc`kCA6vgp@R_A6B6f{75(y48w~ zEk=FaUTU=~IyQ7{FE(`SAgNeR_eYS$K|@)zV3XA#xvdTpErEj4>tfXNTJ4ICW3`G! z1jmN4)N}xa%tmml*9f2|au~JAltsJzg4OF9(biObi*NildA^6fda~QT_Yf&)khEVj z;lV}+xhE(tZ?qk#<?BQ#msUo0E<6xueId1>R45DODYa*CvCjLW5#0Gxn?w^SkCeH5 zWUKwM!j>g@b@o7(;mNa&Z><0FM$E6=G3hqsh&r2dL<$hMPeW*R58g3JLv~D(xZN=c zu@KMCskt!TPEU<>dh$g3G=!l$JgBV4OD3MDvzN$kiZhf)%6zE6wlN1q9&EO<7|!*Z z;^SO$s1}wkt42U*exQN;X^16oT9X{;f~7mMp1@5WN7U0Ck&(sWUKzF5azwnEipLbn z)s#3Q?aa|%pNh)*1he~zkc~rdM0`o3I3g>8jhY!Wzf74UGS%pZNklcf=ZJa%9FgKF zD>))u`p0ubSi<c3A;DoUgd-ZHAskVhwj5DNTtpc!G@76o5)B(Y8Ytb1jS1F~=nLWm zWsx>s6xjYVG)-Abo2(92wb&1F!s$^^a6=rr$Cn*kuwif9RX>F{8PsY7Bd8g{<pARd zu4aXthx%MrXo+CM+_){KD$wF=$fG?I40go`F7q~y;A%#w&jYW^2rUt8SR1#+oDZrK ztXjO14ibWE*dUj~O2NDh`h(8lk=#6~6a41rWf}`Q$ZfI11=R?4$9QOUO`4k{IPRGT zC^Y{j;`C5ZS-u|2SjZ>O7wo7TX)h?IYQfelt@Un{Bk$I-K=s2&V+2bPM@0niNuX`` zeO;TVk+Mh|dwZril%=$ZkEbTNtz({D5H@j@16IHh_jAwARw=IKl0|spY}4zfE#L}f zR?<KH0KmI^Q}pzue50l5D>0G;lKZM(@V4j|`d$p2djRB3v3V+NDSGIh4j$m%w?$Wb zJUNtGWPr3kVQxj%V11wXldd9d><6t^;68-%ls0jRy1}fSUYnVB1YUqbR^SD-BZ>}k z@5m(^ynvf6hhuZgBnJXtDVbm?+_-(@wdZ(r22imdYT8_e)CQn>Z4Qy_!ZIK`b_DH) z_8nZdKKAw6%)oE0WYy+6jA~G|lw65^Ip^c-Dk98aoa-dlZK5#v%OzW7w??s9j{L?$ z_p$AsBCPDr(*><Aq&1X^Qk19Ep20=CC~~=J<1o}|{Amu#BV|q#*=ncRd{2?fvyJyU zzUH3<DhC0eQoZ|7$)e(t0F@g&YKLKg#}^X5U{D#yyXH~TVE~WX%|aeQPlxTZc57OP zE>4kxEM1zuSy^jG5JIsc9;(;Q+t;tvs9{9wu%q#>0z}Beb+7_E%6Ef&7E3u;dpmMV zs;Pq^w3c614IGwpm}(TNOxX*|ZZ%0yZOj3$>Kv-+^*93|Mby52tp=@JjrU%(ez3kt zHEhFCRa5`^HGVfj&JiZ0XP%JjJG}vt?TtoBZ;)cc)IBh03L=)Pse|Gn)d1EyhpDE( z>+t+2;d1$Yo7gkW?CaM9$#9aUp*PuRg!i=j@+n1EngP6iHLu^it9;Hfq?(G}C>1D1 z8LuAm;8oLAGtxOgkWiK+HiL)AQg3J%L&b1Unl{gJHLgOFwbWL#Exc0Wz{x|mSiWX} z#AzBNn1VP=0JD+Hr-q>=4dRuSuM?$os!Ef`wZ^0fS0&0*dVg5HGSnzUjLz^N=D&|? zZG&=RZNTK&#xI$?fJ=nshnr*h=Nn=9hd>a=!|txJe775b<w4m55X9NAC5QtoLKRu< z_oR1f31aTWw8KV1eq5ZPJW|GW2&^Q3g2#sx+aQQ*C2vd+_xm+ZFl<Z^*Gk@)ARc!4 z<ANNzJr|QhpwOqD>(Ck_h`Ho#MI>vYWP>e0vtv@q(I9CnYXRCCWNWpXXb#Z0ylt5S z0kpUifY6lhtYlkpX&cY1HhPU?SMN2)$zZ4WEI#NYNf18k2H>-9x8+((?yc^`YPToB zNQ=)lz-L{_1KkHcgQ^30R7h6%jB|VDtqI)VJ{mypU4W^yuSN_yoTe&m;MYm6V+}(j zyPM%YigG2#2~lflU%hM#96U@pK0}+kqtUQ8NDiM#j+8dTOOoHbOrAXx4}-c7N`y#u zF=Z-kh5iG%=}I2Z!=M=v&(iT#&mdk4W|-2K?9L!@8{*||{nm*qd0Ro$dJ*y)EvB?% z;^%0L!Xf3BDL-AYP^4`zexyZ|Zb<mC*0J?0vbA0fKLLT8xF=@5%r#yz2~V7Dx<6TK z{cXUc{&DoBKa!(xa3z?;rOm)31mG9azwC|sY`0(%ai9|dCeu#H3sBwRP=(ir? zK{s-P);(|+LU~G?ctnB=mf#a-s+}W14ipj>eaKh2*5;DyNGS4?%Ooo>sobvGDCWOR z>Pm)H$+lycMdt)C>u|D<5oa9atddMEt(9yi!A{m@ISSwp-S*q36oo~3x}epCw1!eq zit?1&Gq`AtZ}X=Vd-CaxAY%^N+2qc_Hs0&_ntvLsqkk-ZDb`u>?hCdyH~kz;!|%cD ziaJZ;oui#J1nuA(5CPO#uie@Vw+@O9I#gHe7{~zJQfGX@#xY4bkD+8sK_CYxWChx( ze2kk*b1{iU4wPFaxlElcd6uy1Q!YdK9TeZGqs^ysn~+my^^&QjAm!LEd@#w|a_?5( zNuOJ^W5=YFqd}@S`t~_4ZHcZi!>-pb<{-4g<!#Fx4BF8j1E@qh)7iWj&2Ecx`IWi~ zey3ER8O!5}kxzDg*<4Q?@Ak)Tz;dS{KXxO&!MFN50r=!o%k`8zUhN6b6~gKb1VY|E z*or?41`=*l|Ndnot{b=G(9TyU>YO>t3W$Q?#JB3Gb|juZVSiGV(xAnmY9pFcfrVH% zD2vp^LF#K{gegjXp-hbHL0QR<0|~8=&DkGQ@vr#(GEX*aOiqm0MpU^-nkP<Hw_B3m z;ubX~%VaY&R4v<1My<N8eS!45#Y3xxjz)^qx6Tvz&}e%uz}75vQ2T%lZJ#L>WhrfL z^wlk8){g8li>zdNJyW#iLOD;U?Z&F94Y+O~lm24FyZn6eF`wSneKev1FEL8^-D~B# zn!L|yTnOQ^&%HNhhC;hzClPbr@9+3Qt0d_MJZP0<A$w!Pf&RkpL-&xo*c*c(g}O;- zNfls%XKV06kWxAAb(r%J=lP$MN4lZg)GmYj7|K)H+<mI<)U}+sQL*jhe3YT&96~@7 zDzd+rVsop7SED9;1CP0CDIBIIdjr^{bAU~-Qk*2%RN)=PEk10L!t0o?8sT<A0vvs4 z5z_OA?iSi@GvOn=S=SmvibJU=MR`i?8C<mLy!p17YuL;@$|fB|ByoAR@m|N*{L`Q! z{lx$S1sZ)yt3^fH@lygH2~KA}`ms3Ar}MLMF~6ltEX8kz;<xCYM(^^!7UOAtL7|Nm zL00<P78Qv>Q2|s1o)#5Zgw&!UAdb3+j%ktkc~B8H*2p`ys0b$fI69pyrssL|vY5d& zjwgj)HVFIHhct6dc0Y}t<(K2)W&$5t_hmuu7Ftw<L3}u<sB*9T^P?jD<%m_NX!(nH zWcMvsr0u#zMfdLhc7uv&2~Dg_9ixtUucC_XlPRJm-3KJ1#TTVPD@djLXimeuHNN`0 ziyF~H_sJ3o*~H%1TrEiik4bK^77iI8=eC<_@nqY{SaS)yq&Vq!OA*x%5{;2IIJ3EK zch<MEpQgp@MCc41ltmg&32SX3rJ)qvq%5WO3@)xu53$xU+80^Zo{1e&NCG!;FTvI{ zt|`!x#uI0oUO#OCR}43#KPRvX1*tC~RK7umOJwdX3et+(qM#N9T|pOG6r>G;T%{Zn zbq=SP*Idoql$muSy9P~=3tcTcWUp*Z6vW(Oi-PXm8{8)))S@6|wJvPY#^(^!%$_~i XV!sTbki-{*g7*FoaA+(<9-aUIprZD+ diff --git a/implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz b/implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz deleted file mode 100644 index a802185a7b4ee2aedad870961b89be8540d2a15f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2775 zcmV;|3Mlm-iwFP!000001MOT}Z`;Nae%G&9zz<DfNa9_jfj;=!8cppaPKy-9DWM}X zHXE5#Dawg)eExf9c6YeD6lqhk>iC?B59W$o&dlDvZ+3?C&d!sL-VS5mCs|UA%jA;% z`)-f%<8XYLWRrxQW?6o_m@_*Z*j9gLSx#)@Bj*>gqNt94U#HpP3;PlVap1lBA)lA* z^oY$ScXQAF*3^<`^5OT3gq=@H#zJO0d;Vz8^_XMX5mrA+=IIqx6j~<v&8$oo1>Qc) zZ*IofrEW!;-X!8F)}Mj?!}k}gpD)Uzv|z*J%PcR-VR<tfeoXTs$;vd#hi{7fk7QEL zhvlNoi!_<W+2STC(mxl;khBfco7ptEfhWdgnrFjVRt!N^nqBpt)bl$#y*K0Xn%R5o zr{nkRH4Fed{!%7IHl9N3r{g=eKc6QBDrLRn>~fZ;S&0qK<4IQj=KPEI%B$ON+2lHz ze1hTooPs<yO~#jC9ZN2+5|)2t-%O^TzUdt#S7|0iz~ga|T!Dz;TZRJ4BE7mw3O)nc z1aM=&FY?7~zQ_J^nS2~CrsaQo`(W<fJe{*&(&Y0Vn@{u4@%XlPUS1~!1FPA7QKYxf zr1vm9VjS_WHLxtJ*RQu&Rp2FS;6SZi_U3v#PuRtE`Vl6}(qRuAA7%XvGqxzl&`Wnq zbx@-Xvc6;Ql0O$IHq|spK4A?aF00vU5^D`cQ3$dwrsK({W<gLDH2O}DKXW+Eq2jv~ zT5*iS(s+nI;kS0#5%gNZ_Amcf%uAen4NqWwU&!BO7x|*#3znvgm_piPeXJjd`rk1% zA6iC;@%omO-~U^WT_)7rYv!^yX{P^V_oC~l&RIfzf>#cc=@e_<%cXN)wd!7t_<fTa zLkE|@uaFvbTfahOILm2-SE{0H%|m^*D{jh@R#U%1Wjgo!s?=AlNn=>z%22%oX8jJo z?^(SLwE!zq8O~zR#T7n(p-5w+!N%c_x)Ls-P0hv8$;rDP-hQw_5})B*xGITd(yZpK zsRf_B<@TN&$LA+!Zp@)DcHoAo#&JWbhDxBujnD>a4Ht3fSaD6(aQ`7Sr)R%>aJW@w zYgSkDE2<HlCM@u@*3{HQo@|ZlVq4*`243hxvDO;9xixKS4qyMsHCZ4@jR^FV>#nGV zw?>SOK~H?NOf{ZQtr>*@sfpZ3YfW7Q?{M*_ngaDY_ly`Drz5n+#?i)}0<5mo_}Em@ zY1&fKmfyyTfN7jEoi+L0emcL~TYlGO4lj1*_plSc2U~vcvS7CSu6jv_9V*lL+4(&{ zIw1}reh1jtFu%8{d4%76$%qK#HGUr<T_CtSvIIrX(_16?-Ia`3$y(<3>K2Fusyg`j z<bzXZ#MRX-F`@)?u)40si$=0Fqmd{<Ynm8QiO_JZ#)zuU1B@7cO-6LrWJLGrjOcC| zQJXou*qISwFWX_q3;SX&cDv(Mkl#?+GNS4f#eRza)HPLlmK`tOE7TbgU}FVFtTwy= z>iVt<B3>Nk;iBE9<`G7;BqQSX%v9q9LO3v@EFmNMi1XAM$%wvW#7fpOBUZQI=~hNu zS<Mn7N<as^sH^cpYR!mm4511#qTU*@|5GA3>WDuzMpSi{8BuJfVUOrn8*2yvR-L9z zJ~dxASE4<lw<aT6PiI7H%ZS>{;l<93=yus7I`(#t*k#3R8Bz6;4m(t)v*JjoufV+? z-_<5Hz+qc(R@K7Y6drIkW56(fD>wxFl&K?tjm<J*tC~j`F_esmm|bH;3F*Ly3f#Q_ zgO4>v3?w5~vX&XKx&=?SGNP`gAvn9NW{D9cp!0Ds)p#Qjk_D=AP&ORMh`3#()(A#a zBCw7;puQfQRdtpbu~H*L=&0uT8POMvc#)Kg89T)MrpRt$Qi$dtq%UC(8mUq3))9O4 z`|7FDG)`332?cz;)Tn4XjbQx@v)X?4)ToDP*2hw#f#Y}BD7v;xMXtV4Jk4f{Qs(*U z-6DTO??AGb|LB}b^+f$HsZm%HjwS0u(hsxk80+7Y8WoT~sZ?V`l@C>!P)vd%7CbHe z$<c&EKlYgX#XFD6aITV@T2UfTy_J%x%oDFfBu3{}GSgNew}=P~u9Ho=t#}Q`q(VlY zNARS5?8@~<acsL*1jxQDZ~yGbf&3)Dqi@){$oo~7m&G6jQqP7w+Y`YyNUJG=2m*aC z1NOOKpEvS`2MzMhxWLy-_H~gb?Gxyq#Ur%OlxJ7@INz$GkD;TpzT7#EVi2Hnqm+j^ zMBaTMTkpSa@;C{K@y+4@?K9=sHuWDM3*3bRD9KZsH06~5BOICi$K{!^W7>vWgBE#e za@HsIYQJgyu8wdo>*WviF3&T9oQZLK*q;P)5;X`s=!~<8%5d%uay4+%=M0tU+zN8~ zTN|L9Fb*%1O*Q*8*Bpw#p-_=PPV;@hEn1S-wg_lB)Z{7SSDW<p+OP<C8a(LW(1|cx zqeBO`{_h<+0OIF<*DVUVICLYgi$mwE9q0IGICMk@a%orYoQZUJR|4Hy@3Kkh=Bb7v z>!O^72~?zWE5_-L92i9yXZmr{T8?@(H%>=5(~YZ3tGq7L2{D|w7HQxlRnqD{97=0; z;YNBW!F1v3(khS3v`u&5+N25Fn*BF!TeJISx|c4vzu_pfhWk9sZAd%cKXq;N-%Q&K z=dDGW*>4ewFr?W5CAI_JJeY^zx<&dZ5NmOO*GGX%t0Fq4Z7tH&WURB$D!*x+Zr!%_ zUZXye3%3Q!a5y&zW?URX85~kEp4UY){asp`IdpD?vu-Y%W?ju=GqzRQ+;8&OS|-2l zuxa9y>FvYT;STw0qYnPs!vVO9Q~qtisvjp95jY;hd%+>N7y5MqV0Q<P>HbcUT?i z{uv%yzZ=YHC<k~XzPniI`vVCV=4n$fr{e;Z>D&r)oxC<3gn`#JM=RhVFWQ)PblX6j z9z5zI&h$UJ#@<GT1JcoPt68UcZmr^kb%w81`GH(qW8_UwwiuBuz*CqZhPbvpz2>{= z;?%HrAcFQPv?^AIjcr^DhLC>%1|!KoV8S)Ojce&CXK<K+n4q5sKFCWF-Uf6S?IA^+ ztZfZwZjvr<Lu&x3fycGN4t|n&p}H}y1-CAapPs3F;eL`G`Oh8M^8F5xEkN-Oeo|m< z{iJp_z&peUh-PrKYzH@tby6AENM$&$yB*Ylfy#8=u%9Hpe*<qP<Ow$dx^?}e$HlGZ zCq=&g5(~kwKrHBJO4)I@s||%UKS>v-I`-j-^~hbCcUw>7fsUe1l53=8aiVXz^+b%9 z-@p?A=x^{u1fN$+K)iZa|3r!W54ESM$=lExs63IVgC`<h+iUbh;MOKGY+v=iNj&z- zl<kDi>WA0^3$kL5zcS^9U0#_A-2e4grsRLC0E+&5UYY6!bsI)HiK{Qk4-r8E9!YJn zj>l`1<KXH48DYr}F~n7AHULJ2ZTy8e&LQa5w23n#A`S5xtNKp|j`q>MtNS5fogc?h z5IVyH{*eepM1;8WQ|I6S(^)m^qKK@E+QgY&l8Z@(eN$XW=`2{VLL6V=P$VCYyd;jM zScSYKF0P8`!yUcvTEuOLA!t=>y`*+8iTX$`mkv(S1|q#;3UT15ieSLHJD9JL&<cz< d(6!mWUP22f4thc?rp?X%-d|9?mWd@z003ucdwl=^ diff --git a/implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz b/implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz deleted file mode 100644 index 8d27cacf662d0329dbd99591a54b9d9b14e75d40..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3721 zcmV;44tDV$iwFP!000001MOW~Z``&Le)q2+kcXxS?A2{=2KwOGPHUVVJF(N^6h$iR zu@y({TD#qg6XW>&_YN;34kdAymew0PkN}$_Q5ue@nU9}Fl=j7oM$66%#(qq)WHwqP zSM0xc`%El{qpKvlOxQ`5O>UQS<`4FKZ+Gf>LG1HG&MwrBa(Dj!=QLY>VP6KlxEH<r zX)<51lOs00yqib<_hu`TOdb4wnXt3V1!Du|2m9Urei*U9^M`!*qhy|5<BqbG%gN1j zkt}Dt`fze{Gs>>06^ryHkw>xJDYSq1{(|jJmW!iw#yZKD>14L(EN-TqkLhHVWQ#PL zbl%P;e<qiUd1tX)OlD~^j<e-WGE4tnCLL_8lip0n$qgJaTBMV#GtFilXe!OFcN+El zix)d@M~hF)-)H|me$OtF#d69HKP8ucvCk=Nf176PGF!y6<ofsEL+9{cZ09(;noiPe z!Oxmh9kG{xe9tcVp>Pew!EBt2uE0c=TwNz@@{xUaIsWUro!7~Ankf~i!28g7CloAZ z>GgFo6K=pP3WUW!&L+$0e4qUfObg)e|2qfSXnZ$M=j_)s`Ml5O<H_fEbh~r5_>{~T zSj`S*v-EZ}X7Aw|$&1PVdwZVe?d+;^*V|3W+Y5Ye=M{VVX*5sR#i#TmcuZ;W_ku3h z^$WP|Y%zjfx-0F&TlAsDAJ}>F_cG<DY8s@D@b-og*Hvvbs`d8zVFbD^#v{09m5N|j zu-@zMh+_`N6WH-Qg|j&3m!)!%eIlw}u_NfUgdJS{xtuS!2UZ-x_wP#muh_+8ITKMk zhduyjg(w=2jDFbV`}gGjKQQeNwsRHxdvbp`Meb7E2liEqyBl9#F{%1qo-2<6x!lW6 zQ`^~Tg1y1F946y2-|b$V@qK<i_xf7jo2sQ+d6bY*b*aLrg}v0Z=KJTOvbxwGujB?* zkXpJ0Wh{4hwY00PPGbmS!?-_S?Vc63!xp`u45hr3vQ~H{h}hQz3;<~OJ~q`yZ{D2$ z^zJ`C-%0qYP^cy8@YSl{33HX|H^=eWo6|u&iFxB59AT;s`a`7}B~Tp=x<vJL81>`W z54~aM^=j1zr$+&|xoEYE>ffm9EEZb0Cb58{8LIt3sH~29zL3D`UT<Jp9fH+SKQgT@ zt3DOFe};z4zIIWqtiBZoXK`PetN!0JQ?=iXl+``IhgJ`QfLJXq*J03)L09L1?_*Pa za{8<6YS>Bp1@wZG>fg9(>3mt-D_Cu+_I=*19AW{8g#;oXH;6^Qh5_^l_FSR*BKJPi zYKv+Tpd_dpiHLm9eVEXr{v(VO*h&1l!;%jVdUOy21Fvp0CMf-?kj^Dq6iy^0sLRox zh}8pu@)vX)EV;v=jHTWJmD-g}l!wMCLZhABFqEMrkPjjdk?PO@$S>)$#RD)l(LzC= zHoj2|?85aG95ZlW#i5-QL)ACWiUG8@&5DOT7gjt7U0AUn%1C+caJL0kydLxfAXQT0 zT8vmh2qKBlF8+}%C_-5q1~pa?{6G=QI(WFwVgii783Lb^wjyVM8{;xCQpFa=fC#xt zF)(51H;LdYh%l!jNKv%}8>1m7muRucgI6fdxvI%=unD1<l0Kps_++lQ%v24<)f~9b zDH^euaNre+bDnBiOc}6Ev9#F6QVqox{%f-K5biYw{ejmx;9X>;zh?(0p<li~GT5(0 zvDwE%?Q0<2Gefc8<72ttzUqzxF5Ym+$vgHj-qVS(knfDFx~SOV$Ng%>IxKbcHj)tC zp8G)Xn7kMJh+lG89BA(*a9_2?TSO7c+GtNa2SqIFU_6>+T1SwbQ`Aaim&6f{Hz~9w z4iL`^>a^)iy<ZUC(1Gx-P6J<@u$Q+D^T1C%5e&)OEhmCSQh8k>7{J|u@`jKu=CQ<{ zJJM}|^70!&(N`+UD-cgqS8`rJE1CFyP#RdZL|{d<ps|myVl9-laZuw0#SoOStOJS4 zU}9&%W`d5u7r-Imgs`8HFSzqwIG85*5GYq^b|k(+GtyFn`SuJ%Cj=ETz;ID)lsYG< z1)H%Au-U5Fq-8bozkP$3fz1!(z6F~J`L$}6Hm@bWLUSei9d<2wR2XKUpKY3LX(K{^ zsb=l$Iw+b+h#SuxV;_@EI)H1NMO9b|zD__B#A?u#)GP-enXJ8Mws1hGEa=-*I6x2x zQW-grO5dJEg(^-e8%!>MZUMyS8lLj(0)N}2G8{VOQM*y#LMn&t?1FkD296XEw0g;T zW*+`xss`?}LAt5i1WD<~W^^6hf-;ou+|%eAg)e28p<7VK(us&_i{;tSJ8NBsXTZ0h z3?%_r&K-yL$5dLC)L?679qz7b1^~-gz(C3>Lp5W8Cjrf7f*zloAg25%iL=Tc%`K~^ z=w2+7zPT>>MBUa5f9xFyn@qxu4Z1fYo~}#oCM}4_*_h41L@9MPVK1K(&^OKom~1bO zgWezn`^^RV!Pbn8in}xewANViX0ljz5Z0FFWdwLO(I7vYfyi*;{STZ?6vt6F>Wg_9 zsEL;=nmIi?ubf%p(oDtKNEgWBh&B)H7n<qHbMW?HkPuf1TwoaT2{U*$csD1<@Pf8H z6<ln_bZBHL)@C$B7%;P`6-4Nk%dhBB>?Dpnu;c?+J0n7N2>e%F!%H&MaPD0>)JR4Q zJJZpn2=+QQP%7x#RBRxZ?>6W1$BnqWm?-?jxx7E@Zkx+@yAE6)QZ^1;J{q<O;uT0| zR;|Z95&s)x><UH@O>%h2@r7@}S}5H~y26SwWY8@rW9h`p!3>IGYi%a@2$TXG5>BW{ zDK?QHwrXyiAnx}qGZ-2th^?9%Cy0k#I<1*fw`1;5ND7OoXB%5131X^QJB}Gi_17>4 zTY|Qt53!RJGPDE@lcQxYf-WKe1Y$Kz)F)_E-=@L=30lvAph@Vge31*PHZf%tW&k|h zRI48hwo1?ZJue8H=vmi+o^`uzs&xg<nN{miPlSI<&l=FPu1Ett20a5;uO@zyp3&6a ziZ{5rGB*X!@0A3Ua^TS{Rq6S?O|va&=%U$t7G5M#re;6D%v#u&Zx@No8l`7ob2pBM zy+P1<Es~1T=88$NXD7ky*L*IhnYMM&Y*NZl50xN6Zqw8}z`39i=A8xbRbN4P4~kIA zqUL-KT)}3G=1q;E8aog-T1u(=q$pt@xiiX5Oo&pgaaTkU%Gx)%#C1@_vd*0?nq*pM zvPmndmFM|GhvAE^X7G}1A62JKFJ7z%ts|H0J8((Kf-AWsR5jz0py0`W6d>9zmxKo_ zo(q=@J(t&?y2GIyx1T%CLHs}ZM*-I39S5aNeiA^T2>}(+f<`{RinUPIMnR1Z6hTnN zvJN0BgNdC5n+Y}o#{q|g6Do4a$~$eU*_MJrm|Uf~oa*bUr_r1-nVKQ0M1R9G8zpgG z=FwCiNt;oQBcF5IHKV0g&H5tfi`7g*e}3+<-_B9w(N*vT<u2$oSn|D4#!_#AN*#R7 z-}LT@xf@QwTnscF4k!UmP;k)37f$?vt4MVQ4pe8&i!bn8v%!lmYL4QQPMih)*2zxj zLUw|1;E*`$wa+!(g98T##V#ry7l`q<O`J7IoPk4Dke$jo+z%u>R?X$aSw8u%M0Oym z6xl&ZB%!Lv4%mDlo)OZ-nO!qtI;7-O8wJgqLU--&=+7<L(S1^qu#@aTFXEk7x1Y5s zJR`J*Tvb-bysOaRfD(`$s&7-_fMjR5g6z!Zi!7esWtZZUj}_US(hl`<Jf<2ktLtgM zpyB)5{m>00&qJ3F-S7`iuK)aFf1p0M_}l?-8<`%sBg%6SIP&HU2sY?Rt+0|)AOefE z65X;Tg}ti4*2yZ0P`c-PB-AS$DZmL?MG;G<Tf^M$3Mz!g!agWMY36*uHK7u36HB;I z#!uBLYm?(ZD%R+xxu3k@-@OlDkCAwX7!&sMXNXc_B0Q~ji_|wiME1!l-H7>C-TGpb z2JG4!(7&HM8a!(5NAxcC6uK{&+b*0MU(6~pqs>8pZdv#s_kP&aF!qU4p@?Pups%)+ zL_3J9BC;~iTL?uRE+`e0>eHwl9r{3IOui>6(|K|^xlLwwwohbN@FkKEK0lSvRp(vS z972$neeEY>c~{7DGXL{y-tTXDmQ@h+9cEbtk;{{@;Xr-P`ne<IcKA|L!UTv`<69}x z=M?G?@ZsC(D#}ncgiVDE6k|}vvOavO5JGF|S->^|qdZ$;e1f_J4lbk#y2$d~lr_q$ zS(9(DCZQZcO<V_{HcA581W^hbk?vKXBR`}_n}l-PU9&~Gz91UU8%szBo;xf&DsjS2 zQl6aOI_dkSB3puMUL*dMepLupQ!$jwQ0E@<%45)Ru;fmIGM0J^RBCr_{?N>fJrW+} zkal`#M)hgqo5exSiqx-$<W!*64~Mj@2%T1yoBR<GfwwIy;yo(|P&x3ltVlDY2SKC+ z4h19yJfW*7W9fvK(*MDp)tMv;?%y^mg6C?8j{KxsR`kffE!46i2*IZ~m58#Kd-|(m zPmvX=UyWGDidMgchjHKLisY!k?;JPw(`qd%Ql0uWSW)5b5G=`W5IOqLA6RRjt%>WD z5B<jNC2Yk7MJP8;h#oUb#C2o#MoZQt5g;bQqLmIA&+YILfmOGrr_Jt&>Cx!lZHkEY ziYa3sDaXwLKu3QuL$u)p5s8>h<cH9YuynfJ0UPLz4w?i(jUtpJo<XeUL6S+7)LB9S zgQB2Ln{HmJ2d?G0A@x%N>sU}||L`kRVYs&}NI`weg4j3l1G7yZnAL(q=|c)!5Mz{M zkyR9-bjL;s6ADBMSVC4&#L@{UbGs>AuC$w==4Kw2Js}oEV6kOEkB$b9nG$MQ5Ck!x n3=L}pA6X-U95#`7F3=X84U$Zvr0#36pq+mJ%<)js6oCK$WEvz! diff --git a/implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz b/implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz deleted file mode 100644 index 2f60f0f1f62dc1218317f6154e74102c75e2f190..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 90 zcmV-g0HyyQiwFQRz?@wG1M^EQ@k`82RUib)aspBlvtjJ8%+zu;`3xla3`}`0WiGCe w{F1~Rg}l<-q|_pX{4|C1oXnEs4281H{G7y+%>2A!D+L2C0LVV@dXWGC06~u`tpET3 diff --git a/implementation/pnr/reports/timing/compteur_postRoute.cap.gz b/implementation/pnr/reports/timing/compteur_postRoute.cap.gz deleted file mode 100644 index 46b14a4308d607da3d6944c09ef7e383a61e2a1c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 450 zcmV;z0X_a7iwFQRz?@wG1GSUiZ__Xk$KUf;oYa>Msj=g<Rp=urg^JNu9Uu@wmPv2J z5dXk&rtH6;lcilV9(bsBQX<>;{pLQoTt=g_A{qg_Lyb;&+{4fNIdqYWJ?a8srM0=M zD@d|9p<_!a<4J4TT=&AKxRSbVpvh)@Ho16jDi5m#*y6sLB$vY~M)&4VHNvLw02w4~ zKF#KA28<@JTloSjd8-Fi3ZtyY+O_H2C?)j%H1DOtM;DB3sJ}C{M;M3uLOLMWSmQkL z%90yt9BMDMAzNpDW8o{}Yj2#ylIvQblYeU@hk8URTcQdM;ib{UYDYpbsc)n6n%{PR zjUGtobLF>EKkw-=K8hw6_V8`&AQ$%6r6~S99bj2*!@Hh}cBq8wB+)1`ywLu8@*`^Z z%eQ>9%vs92#d^xSEKkU{Ap(t4N{{R5aoxt4#%yqqUwwG<dHI#m=TGTapeLXMf>}?H z^#uQTUx0uO2$G&4?Frbq1grIz{}H4Ef@x1cdxG>udl0>n`o_$`|3Zf#!ZiUU8eW76 syOXBu#=U|tmq7vh?%#?Jrpc+K^*R(KT)4+U+g--d1EZk&p_>E%0371wz5oCK diff --git a/implementation/pnr/reports/timing/compteur_postRoute.fanout.gz b/implementation/pnr/reports/timing/compteur_postRoute.fanout.gz deleted file mode 100644 index 781ef7f06fabf25e8fac850cfb269cf6a4fc285e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 326 zcmV-M0lEGkiwFQRz?@wG1Eo;GPQx$^z4t3D?E*+!+G<Rt*G<5r!KRJ-6k2Zt8YiUA z)crkaDT8s{J4A8(o?rY1gNqOi03MLgNyZXhk2!Rh$`YwSm=l?UuOZ1sNj$W1oNkj= zvfT8<Un~^)2AXWLos6#@P0cW$fh~^pIJrJ|G1QA+Ji@YIfDDo}zs>S=0%@Gwwe%U* zYENe_h0&JLyS6<wT1zVb_E~BCR>9B)|F!XqFbwBRIS|-b<5;k^VyBElVns%*oO#EB zYr&q4Q&?@uYjo<<qxkU?O4|x`P)JsWge50}nWBAkS@>=G&&VJ`&NZ(T{m=A-C)wOf zS{PXZoGv~3dQ*M$4^ynFRoL}x!Wy-79U~e<(T$>=$${U{A*dQ+(6WJ@q>vU=?ev2( YRktpLZv`HdU9_X<3+~qpR&fFV0HHLVivR!s diff --git a/implementation/pnr/reports/timing/compteur_postRoute.length.gz b/implementation/pnr/reports/timing/compteur_postRoute.length.gz deleted file mode 100644 index b792c0169bd79bc4122e0d56a7e1744026aa3afb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 241 zcmV<N01p2jiwFQRz?@wG1Er8bOT$1Ah41+l1G(rSZZ_1A=GuT3go=6(F&WE9cV^wp zO8W0jw4y!t9p>`h#|M+97bQsn?vOEbiUYhJYxvHl8<2a1Ewg;a5o~p1^UUXY(b@&s zJ)eeuc_5AxOjX&ItE+n%6}Aod{y47e^|?j(1iwUtU9SKY*rL9z>Y{`qx9erz;7EHu zv-IM;Vhl^Y5$8G{{`X1_e<;ZO?0=G|2-$oa3Se;Z64a>k=8YsEE3ufzAn(}gXf&z> r!b8i^VW5wQ=GSM)`2)I{=#+$w=U`?T@jkii`DyY6okOmez5xILcmjLn diff --git a/implementation/pnr/reports/timing/compteur_postRoute.summary.gz b/implementation/pnr/reports/timing/compteur_postRoute.summary.gz deleted file mode 100644 index 6c47072af47e12182de34ca7cc340e5dc03fa401..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 566 zcmV-60?GX!iwFP!00000|E*O~Z=)~_e&<(MswPoQErHRF@^)Hvcau)r)K=SLl~I@G z1PPafT`TR!FF<L_hSt+KOCV$W^A|gb>Ge8^)dTo}93|Dbg6CZbrQFaJ@(AIUbMcZZ zaD$;s1{opV(k&qO^L^k^elebJVH=E>W8eNMl!n_WWYJFfZokPQ_#V8-5$+=m5P<82 zqagIg;1PFHgileim^WA=k!BimS-5XRno_<x^=p=5g@Qq5_-7*52m|w;G6@cDGa<F3 z)67{jA(3mwh4UcAZ;Z5ZbgqSDm@IjoqGW$^bZS0_rCEZhK~T*EcQP&=L&kV)b!&dl zvIZT*YOQ^qo6gAH`|W8+H(Kkm&3yT}X1$iobJTeTsaRq8Sr}=Ol$ylYGmp_$xTblc z;k64>B~N#Au(|4o#z=<l$S*mK7EEfbv^7AB28a-{4}GsyphqSWb1--e4^(edSQ<%P z-3RTI>6<D22y(CMQ1|KpnpB`KHR2<xHO=S#W<L+Rb|N#RNvnS$bnV%fJ)J$OI;xH9 z%vxSs*K#L;qgJ*?nW}zadJ@viAG0y-{(tJrxwTY%N$GYO(X3Io54PVwX~lpHz2dyI z`VU#Or2I@4|7vnB%bIdC@QzUgY;u}qe+t|Ehb#&5SZ}_X<^Oconj%+Bn?KSh7+wed zMLq6pmy{Rq0{$|y$nPvkP^!LFoi;PRpHYHXL!2-jZQ!N4o`S0oNVmcF2IlgdcWeg$ E0AG6*3IG5A diff --git a/implementation/pnr/reports/timing/compteur_postRoute.tran.gz b/implementation/pnr/reports/timing/compteur_postRoute.tran.gz deleted file mode 100644 index 3f135f1cdf80c61eb63b6f05afa0d602624f2521..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 499 zcmV<P0Sx{hiwFQRz?@wG1ErH)Z`v>vhVSz$j>Jtn37j|yGh8l=4Xp!2+0<#8A}<^| zZ;72bPDTIyoWzKRPAXE5l-NEWK9677gTbrJ8vuMjiJBYS!OzDjw3hH4$_!y4rFy6< z2-qm_ho$e+G-ygzw=VHyH$v72IIwX#j;=nc%D`d<W%gJ_!F9ijlCF4LBdjt5z#yR0 zm`&*zs2@z4^chy-UiP|VswfTCx(O$$D7f4`jT=#5R|Uh;_TQ-5APnt)CNvN{lu8?7 zijwSw(kP9PimbKzjhU&4sg2SC^HkOaYVo&5a_mP$QRZ0K3T}jwq?DT2W<uV3uM@vf ze~AW&)wwcjA<s2Ev(Nmn)m(1vL7jlTm2=$YJo|HWB$(%Gd+y#o8!WhPQ@nwv(oCA~ z^oQ51=kL?iJfT6_PL^TXMpH_@ouT+6%6v`QVc$98aMC#529wq?w6WNF>==cOE4lf! zSbn{u{<F;*Byb=>4<vLTu>%P%1Bp72_yiI;kf;NB_htSPh~EPlI}qkTp4a{lgm#Mf zCq*Ks2%TIE681o12jV-B@G=nAfv^(@b0F*j5buqUdo=~~3pE0_Ujzj|q{d!1cn~UY pA4UcC2HO<awXbk=tcsqdG{qWu4p+Lng`4Y<_YWC=Q!T{=004|)?Un!l diff --git a/implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz b/implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz deleted file mode 100644 index 7e07e64150895e10cfa801061323dea9e43e7920..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4711 zcmV-t5}55DiwFP!000001MOW~bKABOe)q57Odi@!#x`%dFf)B{Y^TjMCvokz(@y79 z<72aqTAOkuIf>`^{P*+WvcQ5OC76_4*CA(YtVn3F02aF+79jNOS*vU7SrB}a7y0yb zo}UN*xqT7HYyb2-FV6Ddbx}+{+{}V#xD$oj*I^isBJq`XCpx3bF8;q67dM}RPs9GG zpMLr6WHt|89|YHDx3e_*$}MG5=r8|plLzn4=0PwFqWDE`_#*BHaTsMH`$0Y%Ur<I> z%Gu=VdY<1*#pC_S)zxWn&ZU@-uX6RRVEY>7A5U)Pd9aQD2jgk5n}52VOy|4vtLxq0 z$CGJZ%*VxK_h>r#BR`wZcIP+q$#k4wj*6SBd^-N?Cf}v<cE?xOm-!XGaXKGQirwpC zx{Jcb#l=>uwtx0)>*#d;K8Rif|2q63c!Mqo4nNKFX>odq`d^;j279wvJ{5%qTZhH@ z^<-SkMU^L`vts^h{BPMVUw-&1ID4O;{fQ3zI0nDqGCw_sbU}W8kq49CgD=i5|NLU> zWqvU(Gz)xvG|ex-V)y$%Fqlur7Z>?d>OdU>DeO1X$<6ibMetwn{NL6dM7^DjXTeY7 z{NsyYb~*VtI{mQqZvH->29P+|n@-0cP@NypIjR@M|8OS^!>#RdZEXfgg*!2FJr9oF zpU(2&<o)<}sLH|>?ZiEiYyarzIM_S?<7PG&T~*3QCH@Glp3YCvj<=;8;$sLc54XM! zj`P26#-cG?vGq5?oeU*z*VK*JhC73-4_+sir)PgwGg2k?(k=O&{mTh59*<GiL(y@S zm#T++^gK8~+vfFk7P&<Jp5p&JIGNl`<)9{|QcA`O+7|iyD*xAk)tI`v%KV|`zrE4x zd7z59W8wx!<AQ$-?o`uJp0_#afw;4uUtWsbcY1K$nOfc19>1$9#wkN`kawsU`<UM$ z8mU$zamSFlHp|-Vxq7G*mXqHhn$qoUOKscQj7J!-R!~kstl#kYE-2@r8i>q9BUPQQ z?qJ09QjpX)%&_c##|x1h>WVozc=hV|+wXslz)5PjVD4GW_iicTn;)dSuMS7=UcF65 z(pZjSJaCJNlT2hrfkc5!vIIA&Hj>4D9F9u7cK4PQ^ZM;iKgUukR+~n}{341`jiw|> zS#3(iWIbJ*BoQ4VE0zw2AWOB0s%ukM%>JA2WJw`7nZ6M%b?;(iZB*Yl4h*8+1#U5E zkNReinc8IOfYqkVLN>ViXH=k^=Pn?hE{YVj5xp%`0Jd6EAYt51F>ENY;kPytHcdL! zxFG_F+9QCdLjX*3(EaO00Ff60$kY(+5Wv!r)gb`eJlrr5P3glU0PbZ70l=`V8vzKP zK+cR6vjPG1w9gdaqJ#j3;+{kRn!@-`Q)~Y30*wF??K2IpMFe0TfhC;T%O79;9G87& zeD7iwe5N+}kh)w<nu%_Z&TJ?OmSmRjzES?rvJiRnh5Xz#+dK<CGZIir`ApS(e~-^h zl+QfL=Qr2EzL@{2*{_%qkaA#p3mtT(&g{`a@a1pKr_P~toM3SOsWWEYG5%UjpL>{q zs=8ATmQ&!`D0-aJ=QQ=1KKFV)&U5%goF^uRB4#7-;0tBstUb4Oza>?2p?3Pb!HKi9 zL~{C9(op?C-X$8TnISV$Tm5qFbqg&v6u(0>rGD-ag4E4cZ8ezGrP=DR%2&Z;2$#5o zwV4MalbLLJvKDU8uvXK>p>}=39k{IH0Zp)o60Wb$oyA3x4o0IW3A5do%USQeJ%}-P zmzj*z;OE2o7tXpENiKquybz&rSVu!O85E+bnHx&!_xO|@QYVl)9i*<*i>%*D-fvJ4 zYirpGt66KQmB%bb11&E}?Xr&gBy~R)Ggw^V&M@MWSq(D=Bk<zTVv)6&i>pR*rS@Yj z;e^ubV$?55?Xr%vte6}3RA>QGXQ`-48H2&i9)OoozD3q9?n3ZaHbX6IJ5<XfzVT<W ze4CzQ`9w|8kR~c?L**N={8VYFbAgSPT5B&Oq~dX_;Hc)W6Q$x>x!65_!>;?E)PPda z`9xD{@8F?TO*fPYA-FLonS_W$i&S=tyaSV~Y)yr#!-OyJtJ80Ea}_@;d}Do<Uqt`P z_)+SCg_C+Lj7Lu%3rD@d<HW*Q=7EKWSj6#IO3I$dQqlup;nk*58nDV0q(%!!+R%`_ zk=*4!c85r$jg6(XD9p+bNof<Sxk};^OqFr_viVd97}ewEm@_sgm7yC?#=yUZ@e=qe z7!xqH6mF)25{_i(cL`&IP?^N6h-Hj{A`Rn3eCjZ+LB1SAy2y74V}njzi5*B?!&phY z67~wl)u=ZXAtTa?izGoC4+q0=cTWbD>KMP<d!0lA_PP>t%v;CUZR5=98mPO#VH^z< zItMt{ZX4CevZ|S!^q9Q!%8aY@7~E<Y+hJ)(Z!3zd+vkLKsm{=RahcdgJ=k4`9oMCa z5{X3G*m^TfAd=E1Hk(9prGp|mz?9GWDL$&;BEHWpHH#v+Be8~^6}_!COPJehxJ;U~ zUnWI!bQ`6FlB;XY%cQ;73*8Qt<Jh!O>o!Vt8>L9mowQ^P?K5U(xfdt{*Lpi^S%F$o zjDZ!%WFoDe#Q+~W7m87MOM&H3$BG3Ac8iJoW|>q|C|m8&Ata~cmp#2qYIyNj=3+6m z%cS=%M#eQ&ZPXg4Hu<tO-D1)qp}avVIs})9Yl`hZRGTsj)@-KH%6S%+Nka<y4ti?A zR21{@TZ{S)eP-C+XJWF~`An-jYxbGR(8FiOQQY}V5A0c*JE%@GGYH*>b|N8fRECCx z@svCFyUoR_)s{Fj-K<EY6;`S1%%H!_F4NpZMUm{+)i?P>#Xc)LGrjiYS<DKbxnzA+ zE=mg55^GBM%)VF+ATOhRW?%bE!)wuJnn(Dy>&)y*+Wm`J@R{1=%hq&@N%e*zEZ8Mx zaWqSLZInN>ENl;m-sP^@=2`TaYPCcZV_oyZ`^<sIa?0|pMJKQ2TQyBz%aNW_?oN8d zn~TEX<6KZlhaL+m2pxL3&m`9Gw*1qloDZ;|vR(3_#(QqgZECkc-ou(4eurqJjiYiF zLGXwc(Udj`(5;l&byQGD=0RM4hzhoFfwi1HmsKE^T}`nRZuXoAy1T2$$gC!Z+|ilk z56PK1I=yoVocnSg3HejT*$w(*-GE(Qdx{2fqY>lG_7oYJ7nf|z%1TLQwzN{qS;<_t z0^)M6TwBTHbe3c$-GHBhGlI-@oa?qOu*DV5*($po6zwRo8=JPV-Od~fw8eOUPRI*s zW5dX`foMvbm_w3D2u8F>odt^|;=q$Bdc&PLoSzlGwNlF^i=hXURBuXD?o!7i0VP{( zQ^)v+1s5D1F_erR>1*Dp*kxb+3cDOSQZswl&AxhXP8vqaG;i9>ZF=?MA)ur)_Q*ew zJ&-ML(53E&&4EnnXqjpm98vfKhej@c!|GO9eOfd|{0`BSdfD4@ZR!xC%|~@b>SEE< zL0_&JwqFN@Z`Ku42|mij@GT>(n2OkpDn?<+guN>578Cc?yS_lFni5!2l7yagY^LVL z@48}2Z)BTY&i5~d#b#7(>R<ehLV6!7k=yst;E11;ck=f~qqsjz5L<FD^o;_Bi^bFd zaGzoTW}V9vQ{Y8>el4JJxsOLwA(gLCATM^!WPH{GoS%+HX)hhf9sVvi19Qv8ynT0E z`Hq55F%`8@Do~74UOY6tRE*v^k4cc+I4^7C#?45fm8UOp)8l5b7+0a~<fx_?Ya$#o zv#t+hp*QW?Is|V~vCtHZDtRIGcQjfTNF^v0x<E9g_6{D}z;*k*^@%*Jf{ZO20!<M- z<7b6$r`}L%iQu#L2>!4Yg8wH3gCiVu^e7K%>iZnjgz$_Hf=3<YaND$*dkP4iT!J=H z2Ci=or|Xi)CTi1&gx?_=X=96N%?dX)L{r+tcCM7UgpB2xHDxQR7DrLRI?U~E?x|c4 zP3t(f4h9bfe4`Bu+2R#bBeTMy_Y4Nta&8?A&iWjFGH-QqXk}gu2G?OU77XT`t>Rb( zYe&%-+_b&wVA=YO4A%ytDQ#j}$nXghL$pYpz-pRRO|?~c(hN?8{>n$G*eM9x3eNdi z;ae-Ugk@3afy<OFtc<MU(K_CFY;<(yL!JK-#gB1`KH3Q(*Xp<`P9yL@Wj!D~={GlR z<vLW>WM`YUHDq#RPsxA$4$(;e`KYWXk1pH<Dub%Sc2{s#sEo()ICgUJ9_<rzHl_`u zrLR8LajuIHQW+w2SI601?vAWtfLaU+s@-5XbkZK6m8INS0ZH~oqpUxScVCKDGI78K z&hK8wFJFq63E2*M2<pSxMUqKbW|y<sX0A?EL1t<As(TQ}XFz5ODwa9BJ!oBR4d->a zTI;AkM<hvg*2bw~23tNmqtVu1&0Z%$3+N&eX=AI%RDnoJTcSuNoeLs+mFEFh&Pv(d zTz4=s49VG9(XDDWpwP1lLh6IpmmVRvd~v=VgamKAAn~}D=F{wP2KI1D`DzH6gg#62 z{qTvrBmpzA@gw9`n?-5A*7$OSwlrjL$UNG4thhr@kv2A#)|7BVLo}sL?B+_D-M}6c zvH~Vl&ciw9%7Bw6=f%JtKhM<VoaoaL0GjkmZtwtE$OC&UNXDU!3hdQ$w$P@Av+V@i zS?ws&=S@!^yC9hzr0W{6TpNg{w8=0fBT|yDgl{Ti<CTNcD@fL3{H*Y;m0BSjeX#se zgtOvJ7A#)2{ehW=zcBMqVX`=SjA1gE)MVg+aq#069$~V6_CyX(=rE4JI!^%O$kWQp z!7OEqP{F#zOBab$E?z>_E6|hL2Mx|Vn6+e&VAYSqU_e%LRttfCoGr#-KRd%YugeKo z7t&!Iuj8Q5w+-Ii)}TQrVsM&^Xrzq?EY}92Dcu;x={vuIL}0#haC%`J&d&;O6|@Ou zqBzTb2w*y!7o*v2aVCH5t|FGRkfB*b$7O?H*JF?CL{ahx<2s0tr?C&_K~!q>D;I+- z>6QllhZcDWvRce$!lF4Fw@h?L<kcrfH!_~eRO%9K!SXiyNF-8!L#k@7%}vSbu#ZGi z>Sa`o+!Z*5ghd`Ak-Bjhs3sl~`dP3Zi`!sTf%uMD3t|!Td-3Lx9#inIIFzBpJ5I&H zmtuzqQDOlr<&i1#b+WEJ)?*%4@MaiDmr~+wJHuapSLG!A{;VQBvQen!q>gnXdo2rE zW@re4N~F}i)p9H|B_Wd1=7YFeQa1!NaDG<NC_0UT#nD?DT2}qpLKkOhtFcO{J)Rq& zq)&-I&d(+v^672ek0w>1Btn3Abo^FzaFcgZ7h3A?MoFeARGr-a!m17)<Dv2R#pA>e zCHWkynPuGuDvwcQviKTPC{q<o5^lEX0b$xUF%u;;mVYD~X-ng(>&q<>(UdkfvU;GA zw7eF$=fWB2C#qNtl`5m|hPi=C_<qe{nJbuAV<r4H9y92Yc`R{}0!!+3OaK~;QYLwY z4aHL(=rWe^SIN9G$|aFlC3D*$MoZfhr01U%T%t;A&GgvxBUIu3<5~09iO>Uc5slP; z2x|=>MW9q@0nwD&J9uaZR{NLwvSHP+9F;@VD`>>|S>f9$w!uRBC~*S-8vTG|hlPyR zDgrjICyw&iKRP-N_Rjyfna!{AVqVH6Z84%eKNe^CbbdN6=C`FB;-lYjsT~$FO{d(Z za+I(f8{Y{eY>V;BB!#h<xUn*1)Xa9OqO_SLYpKiUABjflZ%CDS(dMRPb@)f3DfP0d zM)KuRBjXMr`P0TiSWzGwDk`m-rNctk6WGMsbBE+BTWXkhKOQK|ObHU`AxR^XQjv>j zO6?sy+&mU)zJRt-EToT!UxkI1zf4EtxQh#ESJYvlI{YUZh#9e`@M2wSDAZ^csWeUF z9Gz(GGZ+G&=?T)c10k}clzLfIBlmI~#N=K(4BBKwNbtT#KnQk~jAtzfGNCLcH!GzS zY_gqEa*ZuM64d{k!a-DLt%zoBV<$FiSuxY>nYxz;F&_DkT;2Pm1eC5H{&0b%J(rdS zLzSZyobg}G<;qy&SHL(sR+|>eEGDjMgS0;Ce--vozlK+NkN_Uh@a?dVR@@Hzbl8V% z7>vT<`9-c#NY#>9hkbNgkwL{rB9T62?8A(wsB&chsKY+F8A~A8%xRP1pAP$|QOKt# pG$`Hisd_|?*jntP@PpBCIzy>Owb`zq&0wFc{{vLsmOM6|005_3HirNJ diff --git a/implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz b/implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz deleted file mode 100644 index bc482eb1ae1b69fdc8c22c286933d11929a34697..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2806 zcmV<S3JLWeiwFP!000001MOT}bKABOe%G(Sj31hgM;33QNYC`a*Vfb2cI>p1PUn=P zBQrJ|nNlUniRbA2_wE8%TqrRnB_;MbkseHlfOZ$aV!sbq(mOkAeOfz={g~$Iq+g^r z?B9?3jDL>$H)%dd*;$^C9%fVKMtiQ)9y^YgxcHNcYuQjV$G@+$eD;ZbiozreUi~zh z7VPYpjR%j@!2RCVGRozT-_BBYF(?>|nCtC#qkS)Ap5w;Y{5YLvx71K*8I117MLL^c z_0j15zMtQiRutKNDt^V<W6*y!niVN)!{6g<!aC`v@n}+Xiu-ZreKwk;d6DI#&gEqE zS2`%BonlsuCRsX6^4Wbl$^M?D9n#my?#ILQ9)8g;vQgd{=aUX7%ktaST4TSn)4J>z zcg)>qznr{fuVD(<$)_Tn<ozM^f7pLy2h(XfL8Yv9lHZI+Szch5N68>B{_y_A$K}<- z_iS*N4nDvHe#}4~8>ammu#TlSw<#OFXWtEmAHHiHrngxxMZnLKNqP$+I&T;XC??tM zZ93sTP$hsH`{QIZ8&CJye{RzE{n@biZ|eZeeVk@f_FI;I+-K9_=ws4<Xk8R{>4bsR z>|ip<9-vEaVS>a=;$LUaahz7W++*E<mz+HhTJ5sSyZ$s~*LT@_aF>OTyXSSW)zRhU z6+5{3Yc?%#x=QUx<FDY^lcEn}`B-X!Umfstr}YE7O8=f^I3Tv!@)yot3>w=NeIv2X zUM~(o*7dMI_^@1%(AW)H{5MC#5j4EYpsy!5c}qW{AG~Up9mCkB)qTcR*uE>|@3QOB zY{C~dIhJrVLs1*shob!tOnXgLUwL~+%5Q(s&n^>c=7zcKGRw`cY%Ye5+MK842YBZw z9S*VeTrRM=>eXD&_<WffO9z+0?~oc@ZQh|WT%{Y~9aWUQ`P`W8ib{DgTAFvLOxJc> zm)g2FSptDT8)}xotUuxVoR!;953n(n;VLFw+<_I-4WLMKV+5C@pG_rPLY129<I~ft zpWeK4K@#_H6kb!!8(Rzh@`2lXdXik6p8E+8n284!wi?fmu`wv55)}Q|hnv(JF5<{@ zl9H^>!ROSRo&WaE<5n5HSxL?Bs74H$uplscQ&JOmWp8{RCxmw_h$1MKdgCtdO_iFX z*FSSj4oFgSgCo^g4eyPZ8w<q1)H$=&1YMe&UaWc(2R)-VWf6S9#UJGY<u-FhxQ;5Z zH#pnq0uZZt35pQhl^SCy8Ov{DMTlt}SiKclfV(~maJMYL@El&;Gz)NRv4B`C(=7}5 z?CRRG06jcraH29@zdj2vk%m|R1eZ;-0K^3XG(*i3ETAiK6A4C%1w?p{vjABF2nYfV z0dpo<fG=@V$y#IqstQB`pdJ2t`pzrkrq@`_0&dE%4_24e1Tjtn2eb$k^J46qb0aWO zi@-MW1MN+C)@>GW(?vQZa8nH5^WdhxB5wNY<EFpGO>!H$ZWuSaULD+wqb+V~NT@7r zaZ?YC#z>8l`kpR*mEAKB*kwS2;L-p$)#e#uvf81QcF$F6p1@5<;wEmBY&Bk}a8s5L zH~F@WdLwbOD{)iFTEtCN1!^jBv$2{5+>~J-;#FBq5aHI616m*u6ag*3Jt6f*;HDNq zQIAWra8tKgz)eSNW?{eC6$tt~xEZX7o6h>U>1=V+@El&;FmC!$4czoxZ;P865-Lku z+|)y3Afq~C*7tPjYv3mMuMwMRBM8A|v$$EQ<_X-4ByQp!tc06gI&$=632`&T!&^cc ziJPIsO(km)H&qq<x)L`Vt69KJ8TL8S*=hp0%LG94MZY+1n!ORYsYMvkue9IPZ5DBp z4!3)JFAFlB<(#%FaPvAXW@C1Qc~_Bj#WWQ8Af#2n2d$K?QFY8-{n<Qan<c5vbD@Ce zN!fNG=_&edOrlkUT#D<y%#>{q)JWNeLAMTg#w#Dzp5^0NA@h&c$muU4?ajY8P4U)A z*}}^4C?yQZNPJcRa}fI?`WR7M2mTdGCEV0`TAiWA<ScUT_0qB^0Y3J{yoFUN>1q^Z zv@Q2d4uE~(jc91-syB~Nt)sWKR=Hu6^@KGn%`Dp|=0wywdtJUuAT+r3gI<!jz7s?I zUzB%ne(XWsl-H=uUrl+xoAUAmq(DmClII3^-ip!I*Dw<DqG6v8_65D5bGSs_IT!eP z!M-~3q<!K{GEaJFpDoV~X+za@#SRWkjd+pllW5vYK!Ay$QXb|u`S5{kbNuSb<4`H) zH%~&e&z9$Uw6BE7!bcL6!~q&j_F3Ry%&(MZpH{<TGhB+ZS0PV3XW6lveW&&NrPEP0 zBbIuIML${e6@!)?v-kw86|_phsv;=V4_c*QW%>Y>=~@}I%%?-ZAc|RdyTU%cT!d4@ zVUx%6^h=x?$k2kkDyN2N=Gsc~wDHZHxZE0?ny1^t8Z=HE!7Zh6Q1yRL<J@lVWzsmH zUQa)H7shpHobRms<lVPH;|K&~08k{(j)zz)!{JI#)=BC11!StY12wD0LL+iek*<}I z(Aa93k;`Up(pqjKC)C1PByY%=C=S}>4Sqy%l}l4x%cdSc&!3bA)JRDyGdGl0LEOv- zsK7RZxRs?To@Lu+(Y6X{!nWnCjoY@Aw0W3M(BtL<>5Y1k(>dS=<(9OIgEQYn)@Ivg zQno5-_PE6;!jk6p6k(GO)FEMu%{e8)#$mvm1ujjU_Sm*TM8c;0+`^Eiow1BnO}^7Q z{g%iY^_dSU(+>jMv5AlQ=7N)$LY&5pL??BM3X@HqKv#VSD)qv!AJJ8dR@|0jQL?Vg z(<*#<8BeQlW{ak2aoY4I&b!c-SX!?JOZ)s`+w~cLzoF?167~-Mg&(&$(aYp%K~#gM zLBy_c+!pxq4TI+K?c3mK?Rvow!@~ymtwyv_aS`rqfU4CGhPAkwX&nSZgI<L`qOv9( z=1CiLWjR@cUzi6)b;Q}MqwYCdFEY=GYSOimbp~In6ep~+7?xsxT-?%AwwOOCL1Rax z2m&%hT^Kt{T-AQxU~Hzi%>fBQA!{Bsn!Q-HJ;Ty$2*;ZO!y3UrMF3<6<PLPzcc4-) z-@4Suw9n>7foFyT!=;yTqbi>^$dM^e8^1t!8r>jv6xCoy;_>UHXIM~meX@Qol1q5G z$w(L8z<iPKkA`*LABEUmgB^uVPXyuSVQ$Ng@I6}yQyWP|%CKi&Fdmu#=YNSEMXr4$ zvnD&L6t@~XibG6=mHCH=YcL0tl;dQpDpnip$P~AsSWT?F`NG`t5A_DVo?^a~)SuEa zlV5o?mEpSTa#NfGv6<X~uKEsCYWvpbAE9F|IN~1y#i28_9T7RXDxWs^hbd1R--vE3 zG$#HL*We%GalEDc1FANWLwmOWUQy>!DA#+5XEW}e19m-~L-V6LXER~ozA*M7b9yy5 zWncbLC_(`lm7Y$b0ji1r%hBlnQ%LdlWmDnM3?5XbYh^Snoy~{?0e-eBjVxPdpUp(p z+ZPLoG)tUHq`}x#oXu2<vrj*koXt4uKPz}Va|34MGdyOf2J5;>5{Hr3Iph!M(CLQ| zcX8$&9%90(WL*t$HaGH-OCH$b)SD}?V4)U1e1$`?%#C==#U{j!xHxsj4>ji4RfyBm zz5oqPZqTaumbel1S-O8kB;a$nO<9>V#y8)?B3dNKh4N;~fGKyjy}3f%=DfM}54sN! IeT_{30E<F^0RR91 diff --git a/implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz b/implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz deleted file mode 100644 index dd8b433c16b0dffc2af762845fe6385f8c91edf5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3892 zcmV-456kc$iwFP!000001MOXHbK5o&{_bCanS5wE8CxVpNu+1`!LgGzb3Hq?d!6fK zT8++T9kn*)>LqRFeE<C(yezSxNC_sTt1gjdY%BqCu>cki9xg%Y*|S!kgJ(i~o#okd zG|z6tzdjxd^*J5gWchU_-sJh@!(t}F<R}adAA%qnhVqh&D|4bgUH<=eoG<<qe<uB5 zKYsqrWHuLX&cws@$5|YH>DDsI&Bfm@GI4P|7a|d1blgjhqrQlOFqNmDWwY@uov3TM zp4>mov&B>vpHA-YNBIr6Vm`jl^i|^U0rg)_7V}IT;{VxrDmvMp50mM<Grxc6{4$<Q zvwS|zC!M#`$*<Y<eAbyS=9B3-yBp?<`)oS?eUWvjzRvjm;V!$!6{Gohl6M~RX$O^! z^V@?~egEv)!Q0XNy$FxR-(P(%uCn>!L7cwNu74AMjFJC#oQvyxKAdK^@1mbNr+*U% zukxFR$vB_OcC(^0@%-m6#kIT?qo^(xciHF$CW`FlHWQOy#243hzkPA=GP@n;Mg=Jd ze(HRu6wIgN+uLla96{75P!@kZoh%+^$Ku~GEyCY_4o>pX-N)H@CVm)ae;kY1-Q<tq z=)=Lq{CzeRuv(l<r{fQ!JMle6Ne`#|9~=ci@8Hn1-R8Sea1@2%!3**B{b-hntM}ty z;4@2~@F?m9IP3K7+e>kB^Xp<Zmt$5sPu3Wq#(%)Qr}Gi|@?+@?c^JUmgM+WcW%m1G zEIY(C+guSGrEv^h)omlS!O@`CgRZN)5k|IBk*+aG57afMcN3g=IYwJw$-%4q=ys^0 z7vc<ko7J~jo~3)*oPRZ0Ox5h3p%38Fm~D3>rymaG`F(BASHgNtU0c=pfj%Eil#VAo z<yhyuINbQ>h0v-WRg=_Q(bA*tG?OpRGx7kLbDG`V$<rQ9iyw>jJle5-bX9A$`YI)p z>ZJmw6la;Smgg6uvAWzJriYe0isz6_>EWT34z1PWA*Q-x+|M|A6s3IR*bm7_^-$_k z%!4F_NPW#918^kIsZ)LS`t{{E-~BU`Cn;Z*Cwr>?PMK>|zkW5mczvD>Cqr3z05z`a zXdn%g4o(upxSt@CtiJ8WgW)jj25INzYSky_XOXnovD#Dhk6d*=R9d7a^?{=~s>38U zR>%FWl2A*(Cq-s;7gooE*tL3D^|{jhEh=Jtt$Ja-YGd^Wb#Xo%Xmic~N8zdt`($-L z8j#gVC`Gbb4cBQj7(!R)M4nTp`px+dx~of8`>Fm>s@Bez5BnvnUDctnRROWQOgamY z8zNavevM`J48<!{Ulrcx_BAlRN;R9H<tg9vn2>MV%Y+Z?qa)=4uDai@^;<xGYP1?X zVd37Qy#jh!+zR83ev{}CRjpG_p(K<QXwF3AN~KXX<4<ltsXU8hN^K4bt!uidjmkOV zlAY2pl4YufMdbi;HP%$PIv{*WU!8wrn5*<z<4X;H;vvRYG0Vt<3wP_eFp55TE*$m- zyUB&q)PoBrfC~>UoW|N;w+(VH#Q!}PUJs25wknBmBOYvkhVVvdSN~WJiAWoRrG*fN zG9*&k1U1)LT%xII6;r@X^D=yL#Z^4PQ!)52`c32f3i>Mv2ytF3Au}G7cqC)LD-;(D z)nztR#VW;Mk%i)Ae(ET$VZNM0g0C~?yFzinPF;%~N?oH^TU^IY9mUnGHww{YT2ybl zUG#A>NP^BuB)g(c@x{rTZdkf2LdSB>Tc_CV<J9^Zq(|W>4hNc@2S<QgX^vvNY1N&a zS~2A*#HGuMtNa)i*C@8r($3yi5~16+7lK`4yVPbia9(706F6>alg>gS(#Gh`Jb^?? zn_xDX<XQ(ubciXx>!*7<O&4*!OPp)`x<;Kfy{$JZn%niDxvN9IS8v4g4=rQ9yB_on zlHDY_apFOA0qGt@w;P$D>9+Ch6Ck>W>v2(n*Cs>026Y(ts2VIn%0nte+88Y@s&J$s znbIb}X$)p|mTY!ODI5|FB3?rn>d$s@aZR|lR<kGFRho&6I()b1FdH}8i$SR)(giK$ zHp6<V17bhmy}>lQWUN7~cc22m)Xz_6yd|3%<*n7MZLVXc1?5$mD>-i(SFNvDr?42I zpX)T&g_IcS<(jRt+ey(*BHY+E#_ke_^Z?6!#MEG;1aOB)c0+mBM3a+1P!qBOP;_AS zQPjCNW0G9orraA&t*B(|K_$cbh$)IBm29zn0Nnxvml}3?|A5>Xu#5W#<nD)c`v-d1 zWw1VG8V8~F*lokyr$8m01%=iJi37z)?!Mt4`=m+bR)$jn8hR(i)Akj()u<!Kl%*Uh zMe5Hu-6rd!WiaA7Bva}|KY3#$E8utCwv1XJb4W(&a?#jfUoH$=Ra!$`HI?XGu7-#5 zST%q(=To#s2W7ivPl62ixz$AdzG@Y?q>&_-R3a@Z<~eyVEa}qE%sFM{)yN0ik+O-= zY)M(4T#b5ut{I!QAZD#`s|f=Y(nK{~wXFj6<57BfhedxljQU9z_PZZ+T3t1D1l*?@ zkXh$bRFe;N2T|$L&I=V^l}(7%))t(HXl7dC`SEZV_u_%tc|n?Fx}uu%i_6NrBR<ts zv_`u?K8$(wsJ~Q=*>(^dVUbWiht{NV6@AeweMwsp_AOWAIyCmo8HcW^#zKSxGn+af zgx>bjwrB7bj*Yu3hk@JsFEqv%$R#M1zCbdiHV1{4akt;|&`oQm!16=8GI(2G=M0|d zv&Oe`Z({Jh_6+`2D+aGN0Pi@157Yi`GWfpSO}vi5BRu27;L%1k-L?&Lp8|uYB>~VR zKLuUi9#1zlnM7Bs{_`A?kv2w53o9IINT#$2c3Pv!PMJe8(h@UHC66V_O3Zi@!Qi+~ zbL(L6V8Cr=AzN(yMFTmr=9`M^xF`z-*J^GZ3{Lx;eo{Y6!uHDAyu7cXj-#<)FxPAy z$2wR$iRR$8@oG=Y>?AEs*v25ky@6y(n?MVJNXb6jjxZV(r&YJwIy_lS&L;#`xjt)r zYo}I$)xHNU(@<FXS{8~nu@x21_;)v~8b-VLgg!h9V3!XmOFT$f4+Ky8wAePt?MYda z;HiNfjy`I%3Q{AFs1#{qytJUgfreyCn;@sQm)pr@*zA(BUax8&-zG>ITpe9iLH9H% z<6%5bor;}QxEP^G^%9c|s*waS30Lk&t<zjf%1j-rG`ml`L)*!amSckQ!M3!yo5%%9 ziD}H&DiF!uaG3U!sPj_A!nDoHr0nG4P4x1m+~etnXni!hM6xUGC_b>+)tt~CPyMnR zPE^2$YAZ$%Z#AJ=6jLl~c1O?#Kx#BwXSb80o%A^pNouolx5^vX@&o!tPnV2(lW6DG zPQ|hsqat$!5-Dv0g=7*8BvGaghwSyd_v53(=rvS#GBQfa)mhV9xidyqG01@jgVfx& zl0l-V9fO2|oqw@EPIoh`hnL^iGste>^I*OoM0*D5JE7L2q5`iCK7KbqLwKXHQU2#S zBqME%m9`5w&X7!L6WCm9v&$gikZ4?)B~;#pbIq0ECQr@FVZEMt-Yj{NtGR35Spgdh z>@&@PhxJ&9j58bkB)eX-Wi~aM?I75}YA2CDZ~N|XA0pfQgyr5qGNnzXDS-$F7n$eM zo65*|<>V|+9P8ek-!OgF_|{IX2u|WbaMrxWf+v?PUSlzP4|h697KOVQB*QL|?!bfM zMBUUQNY+o2J;m{zQ?w))IM_eAR3xMP{Y2;;9j<UUgVdsYiHM{%uPQ9>|9C3Jsnfh1 zB;z~xT#AEPrRXJsWJbawfC*Va%K&luDIgD$)oZqEK#k^2tpV2C$)EQW2d-qdAC#jv zC~B}d*nosdl+%#Ivb@IkB-|TFrgUo*r=Rj@FmZWJ6iU<Hi{fy7)_CinO(ZzAqB!Xa ziZh+f^Wp4ceyzTuQByQ0lCyL|vv`iH29f?<kLrYBcL$?72#?2+59L8jYW?dLgS5M+ zJpMC_76}3^7VvgZ69+|XN1kiG&8rc1YM?YV+KN>+J0uaQKaT2_8?-5b4m%`~QZGy` z?5-d{WGv1h5vfal;F>6;_|6h~)KZ}8aQTyT^EgjY@w?zBx%lx=d=wW1$Vl?(Rm|g3 z#pQ&qJknDXR_JCFs9Luj41fP!cP9DwXGMZkr%=nu9qVRxnGbDlO52+GoM<_hnUj!6 zY4b^3ZK)do8YKMIrEqkb1<SLyG_|bzvnUW(YMZgnsXfYxCxw9~N|)L7<U=<7SocNN z6)cGeAc`)}HTE@mA9bmx{%V#~bcJq{`(L8!U>Cp04_~9*^<znq2TMxR{q8A`SyY_j z3#M?UDw-shS@l)k4PHPs*u)@8dMrOA8EH#!HSOg{L^7q#LDoz(vX-|3c`luSf1-)i zRH-uNUMMf<46c^}e6=a)Ny@9aQs{6cr94u+NNuSy637x}DYLu+Ls4oXXazF9Q_9^? zlwB^Unv!xmAcdE<7s$^)E4oCDHlA4#_$_lJjA4WMZ1kH%`a$s_8L9siHXcBZK&kWs zk}0)0D6|vHjp3qlerH2ANh3*?sq<JYO*Z>NAJ=D%Z|B$r7c#%-Q4oMeKkBjPLWS3A z;eY=FAA2rT41r$R^g;>b*!~ZE07;5<nXD)f6B#zpj)OfHqAn_7tH~x<m4_rFeG*++ zzVR%S?<N<*0|mlR*=W7w?75Ko^{3i5mer!Gr}_Q|9pr2&Q3Asih%!(r&mx&pn}fpb zbD`$X&$h~i%r82u<3g+7q@#Jfj|&;h-E*P3A9#};#6av*c&n~86>4-BsXfiG1tJZ` z{n#OiNd509HK-b(w0Nnq*&&IPdLe3IH^0YRY4^ry&^9ANQa43vtW=8GJQlwW30x)c ztR+DOePedBT1vX@VCYfY#DAjtpHn!9+H59Jac^U9Y|7D~M$_1+XVcWo1%4yoKXc9K zlM_%1Cm@khn}foq(;sfIM<l57{a17tf9PyOo$Sfj7RXN#2QpvtzmEHK>%X2AMKpc) z+{ZZXp8M>%4`CQUO#Mc#MvJO2P$SUP>PygR>Lg&oA&E%+5mc|21|=<6s%&;hBBfq% zTG+kkKKRjW2A+HFBX;Qxu|4<E=)tEcfr*PNK2O0kf57#wy1$D19Q+s3%<or}fB*oM C*_0Ik diff --git a/implementation/pnr/reports/timing/compteur_prePlace.summary.gz b/implementation/pnr/reports/timing/compteur_prePlace.summary.gz deleted file mode 100644 index 54fce7f63f84d1106ae7d3cc1e2c02eade3f5944..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 395 zcmV;60d)Q!iwFP!00000|D{u5Yuhjo{qA3J5C#n)k>q7<>TjiiHc;9?%JwmM_BB(n zPO5w=3G~NLv6Hp#!amFo3{Ow@c)pV~81zCi0JuRypEH*5bC*Gb>%2rN5EexCHPoPH z6D7w^%5<&vmWS1$@Ev!W!WOo(^Y!`k?AF!{i#fPrS5MWjn_}r;ehdf?1p~}LrP;TO zOi4(ky4;t~vDO>vq!iXT#^Cqc*VdSv%8&c34Yn>AJCDmMj}44%;JNl7aO<pR!N!Rv zZ9NievSR7&GZtJ64s5-~YE8kQ*DnFZF-~aXDm2lNv$iB0c@eWTZIXVoA0)}R2a=Pm zuR<J*-+exv4*JC=qf_$e-z<4+l2^#Vfw3hv%e|OaRl_`P()dMI;!_?fhPOUREq>gu z;Ed{F7DYKpm1;8OWGd4GYqgH)v15`_9!fv6*7RN5DqalQz%u7wb=HXT*xg_3W71V@ p{oSN4j-~4BAJb)P`maGGbEH~xeACSzf9ZGZzX6GGT6Jjz0065)#i0NI diff --git a/implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz b/implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz deleted file mode 100644 index b4514f8a0fbcd095bcac863e2eb648719b14088c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3932 zcmV-i52NrOiwFP!000001MOXHZ`(!^{_bBvARpQyu*El#l7W73?4%8v%X^Cy#Z_=^ z#?i%=TuF9fobSKi%<K;LMN4T-Qo8s|0XA18^>Da5^X$Xf)#}lsMz5VmLGV*CDQ3ru z;xzc{W<Q|U;qhrPIVpnYlgae@Y92&`y(rwh48wR7;YVJ*lP8MP@&AkQ<mzkib&!p+ z^!uNu^F{FdD7ZYinWxbY=2fN>`Qi6hMeyom5d?!Eiue2ZeiQ|97!C3CqhdZj;}gYI zPNo-^i{fgAhYzP07sr!R-HOHdq7a`7b}whe>+@r{f!*oV;%Gbzdd1hv>1@$kTwL}( zj;FI?vKUXMz1OqpzlxK^ytlYoOlRZbd^EYbC}!iouZkXDt~b88JTETb6UU43bke(= z%zALG@#Ji$QPn?swDbCS@hOP*gMU1IAH0AT2%dgj6tl_kIo$sF@l9|rpBFQ{Xt48i za(X!(PZoH`)6vOf@kjJes+RAse+W)K6(^se{l1I=FE}rbPr<sNI6W(Z>Br!^lk?Bt z?K~;Y#uEtvA0N$%Gl1y52@qg08=svOGjf3{05bNc+4Smiz90M>F#of20H)r|$MfK~ zaq(q8n4eF-jE=8&UM)Tqvj8j(4ra6QHQdg7XdBUp_&?kW!*FNUzFTzymBPIk&UzfY z{&YMqf_I<BAHh?WUeR9MN337LU1y79sG*ysbMT50VEq`pE&je5<87J-$xnoPL&9=f z&9LEKo(uu&9XQx$HxQf()<@9}edh3d3MalD!(BYZCUL$*ozS5l1Jza)HOO^H=Re+U z?e#biCf^DhUymoEa4Rgw-7gDj1}q*H=jV9*R=VqLY3;45>01*L&!?BXgxugRtyq>} zpKK~TS{9|1)_u#0(CR}g?sA#8yX78$QC5ye;M!!NwCPVWt=wH<rEqFtH?=(!xh}PE zJNyMtS%Q3b^z7N&pWl3l;3#<l0jZL3CpY99s<~^jaxwx66?U7D5F`?kO8}W>cs;iA zbapcO>+0h?=|{Z-Jb4N7@Wn4retq^KMkr+)+^qy(3HiH%Ecxp;Fd@^y5YGY}2r()_ z5n>XGY-Rabd7IM8a`$b(yp7eOTUi0A+`;muyT66#A(D)1GJ4#c(c_NM$uZ<Ve@5?n zF?wIPVaMoLzd93Y@3q}pu{<00l{BsuWqeyfCxcUqQLR|=x7w#l?K65`V<BdA2)8DT zUIA$_dQ8rI8(55<4yaCner1X1H_@zAjGkMJ&X6Ykf-1WU<PxI;e=Re5E+O*-?-pKe zMwi@&r-*(>Fgih2GrAK}a{|kq?);V+J*mm)NpnU|Iz}h|m;byOJ<5F;JxMx7KZT%U zd8Jo%$?zJDYef;w@B!F&FBv`2xsn+j!mWbQONkCQtm{f<P1qS%L0XKSP&LD26RUoL zjFXblWeFKQcWd<2VswVAWON_MB}NDST4MCP)aa4m_6kOq+=uR=Gde+5GP)ab1B{;5 zWc08(qlX=%>onuf=!qAj=N+RzSVl)e;;xJt9m1^%qgOy$j2>1ndWQ5zJ%cC_qwD=n zsnN60VswVAW^@n8B}NDST4wZ8qeo~O8SKisNbbW^bVeu0YDRZLZh+DIg3;d<i>u4v z5HsQ;AC5^(_65<q8_9I-&=KXr>n76$t^ZjknGU)582%b3)WyA%D?0vxXc=T%O{hnE zVU|L2eqBO6%VG~|Ps4aS)DCJE$4@Yqw=b^Pmb<RcuTy#*W3bKSI>of~2rk36wrba_ zgUh_FlU8S&&_pidR>^GS%`k?8UhHlwYnJGKc!JtRjvf-~z{56PoS`Yi>#_v)Wm&4( zcb262XcQ&ku=j*+%ESXwKX`c*e?W3nR>2d52j1@{9@UwN7r`iiurJ9=`pt7a-30c< zqfwIQaqkIbeM<WX@72NcID+8_yp(RA51z1(M-TcgJZTj)YFc(;14?6Y`<^Aqa5PHe zVb(jKn=$bYUOkUvhkZVHX8jGwJ`*nrMXiN<eKoW(?Cbsq_Cfm&@<C|TpTL9qOI-Em zgJ;&?kn2ywiz4<vU`}f3fT$LVTz^KlhNp1-2|V-3Q^iLGo(k?er_hWKF#l~jfv!fN z+b40p2MjQHImSqB4sT<8R9+3Q$VJ$;!QkAsylqI}nspj;>{ju&GvA`|tw}%Zs1}?u z-SD=%zTs?d=Ak4-=HIC#;SeZE5Q6{5N-~bNJ~bo7X&&p8WIxJ$lw_O=p4>DKbxQKq z3PKsG9I?E`v$)J#wFeHWo~@jTfn11WsL!Tg_K}D96pe|Xn8vzN<DSx)C(xJ(E~P6W zrlM7Nb(Q1*MG^PpEhxz<JW~k?wdbQGhk8;3>`OrDrg>^BU22|}q;pp*$tpZk3FR)l zT<Awsk|Hk+?J>yp_67TJLW_KXgRl}3c+X${Mmo|~x~uWPGVv<?Z!*eY&uw7gWo#cX z6FMu6f2R737WO~tHt-Zy;sVbc^<}REW$MOL!D9v99pAFb*)&6LQmO6oO;EjiNj0c{ zG4-;^TsC<*au6=VwhghiC6Yva<s?bxga><Sf^t$WS$HivDNVS_Jp>!fb%ivrQ?S9I zch4IsHmDZhdenS(pGmu|<X;^1x0?Keah;^^A=%P?>Lb|(TbfPVNw#lNvJLcMaIMQ+ z9@<&YMkv{;@GQv&6QyjtnDS_p{3D$~U3%WPB-_A{9NeWt-UjecBJg;<E-B-cc)H|Z z34>!qOL#P^7wt=uQgRGvU|o}x*=Q7Jg9NRFuF1qJ6&oDV?eoDiB^%B&gPs&Kou~i> z9?fV#`_fPhJV9|Vz_|~aeJLdW`e`mDCEF+PUcP#(<CU}4eDH*Q+#V^}WZ|Ve90mq} zQSc%v)9hpa6CDSfV&YXvwhFu!dqXrf=p@_SzkWc?OtnYxKqc6B&m^0Z)P(RUom4zN z+oZ-qO@%v3HVv;uCnd=?@Q`dmY&s<y974&~;JZ&WT>FhnwkY4aWP>ZN&$7X@v4>>q z$61$UgD<~5L^gsh6Pn-AW!BHKRpMEa&3C*uw<Slz@!Co}Q*xjVq8T{a_^)%kb}+<* zmL`cBX4xw7Ov&b*Wg8mf$t;NkF+*>|l1<=MN+OlD)A3sDZKx~nB+)}8A&@X`(h$O; zjwI6YT69v9L_-frv?h!7v<*pA>-*r_%VKSJ3L8H9`AlJhK@cBFH0-iixE{bmWFwSB zLsu57fh4NJ6OxF#VCNJznH$0{t*9(fYz^$0lE}m}2MW~#qz|4cIh>M6=7u1Pm6lW3 zAQUx8#F7KEFi&BBDLDjQmE@?vYmv=6$w7;LJj5VJ$>9vj`dJtquSF*%$q|JfnnUoD zGYtcW+L-F}O)HKl9&UBq3}$l1kw+3H8Tuq)vbdWx|9}+-G{2+AtgkpK@#-p$zBTUC zRB=?{)m0psHEF&-8V$1nPns_k5hZnS68V!SIPKdSH`5eM6`q+S!qGt(H#6~Qh>^zi zC^H175LLL__lU+Zcw9>0RZaQ^CIOHoycRnf1i#ngQg@$8)bd0kY9FXX*tRh!#cj*m zhI1`7>)<hmp9Me+Rq};v+`jZrGkhxGl<9Yz+Gd^(Ei0suT!(Mv_Xk&}Uz$lFz=p;6 zzU?VQkmT6%f_!1_A%)`HXV!O?bjg>8EQJzR@}=f;8L%9<lcVdUC`Oj%t``^Nvq#&( zU-1+G;Sv;wl=0y49xb_HcI7TSX2?psmbkK<P}_~CJkaDurPI6m^QsISyDRDgEr?ol zQqiN!br@In=xgSfG#gL>aCk1Nx4`b!22|2?>wS9W)2F8cj{%jeKkVk1Jlp{lXnsc@ zTyuCA`}8V2E4|^G7VKJ&aTvPhz<_<$fQ18(NfP>WwZ5Z{*CJiw)A_xAJTHZbd=T~c zQa1Qa_f4N5z&^Oj>Ff6C8eWS|D*AM}5~s6IpUoGO(fnp|!i(F(4H&}~ODEKOVmDzR znfk3iw@1-nt9?A=C6m~vkB2V^tzSq#A8u($x6OU^M^8CfsYGDjlC7Yut+ZdRwNF_; zUJ$4jZ6Uu46t$sU=36a|=bvO0_a+0~ig)cT7kTTIaTGR|Pt(R%zu8#r7I2qJKSB{) zN7DyOal1@#;)2*W@Y0;_T;zIep%_FKpuF%Ov{ssd9m{o*aUzCX&X~fd(r(Bw=ox`k z*qVlLW2?r{J+xVw{rg_$2&fA9PL!v)Bej+FUP@QT0$-80=B|dZsWmzmd0Rgy-Ii+6 z2ts33G*;x{j8IYT%q0s{-9|GP=GGUILoOBjwm6wy7qgqHwFSi;7<xlX;=O$-8o187 zFlC6duK8c<jj}4lJ(>TZO+r}DZ=2%;@FPHxpWcW(^v3Z1f7RG<e_tAdW|TkfV+aoH z4C&XX?zAL=@CnHZ8ad#8{VkVa+mP1eJ?k96W!~1OH*q^vmTNQzMerXukrVk#!RsSB z;PXnysM30Hx9WhO3eyw~fyb11r6CAeg4qNRMVjRf_JX5wV57V({2elTe1qv3H4WAU zyi9P_Acm(ld&7QyuZ0KSgp7+)aH!`3TWvu7)`(AuFE^r%EGLI!{vjz_lpB_}*rHtK zt=a<zRiGH+9t{%OnZK_pjf0JDvx*JqcFe%j+;=(Tsy&u{*TPfAH+E8?D&%s-Wmj6U zV)5$niB450x1ds+KL^;UidC*|5f#*a$yF4i^izi-R6A9{UTU$L+|7iBZ@G+HMQ-am z{$+|Q!OLeT09TNzv>tpDssf0O*-Mdqrz+q_Q?Y;O)*UDAk-aW=zP!a_T;{FX0|z&+ zDr$}~Zdz5yrEAxziq&7~;JEB^6_P_cRe?_e8~RNI3Uv9ep{S_!BqZ8c_PgBumYt_8 z7#*|!Q<1nWNl}WaXt(y3i@f#XveIt-IccTcTJOKU&-W1&o75AC&DjH0vhoP<YrpcR z%z@FEwkqXBDTGYB`6?E+Y7E^&o0ZwW?{kWR??Hx2srsuk6t%+A3P>$5xd>bTNR+L~ zjmleSPA>9R?SX@L7ZRFvTD)2PzTvmak)|c<Ojq4(aspab<R;{Ls_Rt5>R(ghaO_ls zB;`&;bSgq)2Y>DoeU9WOMN_m}d&@=MdJ$QtB2e0~iU3Aq+Uit9u*tRAI~4(u2W+5= qcP0mMgd!7nsZ3p^im3f7I{J`_;tfGKHUC4)?)(?&f`40<iU0sJFsHi! diff --git a/implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz b/implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz deleted file mode 100644 index b2e71bccda8e75f38704a5bc6a41cf7ebb408147..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2523 zcmV<12_*I(iwFP!000001MOQ|bKABOe%G(Sj31hgM-p%1#WQ`7FKInZV<%2K>2yvx zszlptWKyLlC!VA8-@6N7NsuCK=n|(r5g)`g2!31ui;o`{@WH`WN9TaC@1rEjMtL-0 ze>@y9ew>UZQ8JF$d6J~}i#ap>o@sPvhGB&!-g0pzD~js)`zlTrpV%kg4PEEO59vH- z=cjBoewaJvx7DYliM;vUB4QWgoH3u7*3rN_GEHU~W`NaCqj`Ko6~$A=>D?@k78zbX zN$>7P$wc)ckMAOJ7wgWl=yE!O26WR!ei~=2AAOpoS>Dg@X8r3p&7vfaleB-CrGG}_ zeBRF&d78!1G)xwEQ5OHTi2C%netb8ZMt5+>D38;mKTEPcJS$FaI$L%9!9nM8l;1M* zi2Zc-j=h2rU}vB5C`(3DX#RBcz=rdAl;J~J=Pa4b(m2Vn#c4QB^54w=@NRi=|1BHe zM&l1Kejj7NW7B9f0q<BexrtbM&Au5=KYY_Uj&9;aLcr}|7To|u{|!TcJd1B`qKt<? z8vu9q`z&3|=11(mljwT1nCAcM48h%pc|2#o#L>qiHlL;+!_j@`BEO9?241samc{qb zq<1hrVj%I?=oyC5>DF6ZR^XP=v!K=?ySyFEBX)HgUqiI49A?iNAlA<ivROWYUV2!m zgHJR8>pS)~`fCwmQ>zZiJB(hyv6{UmSfl6J0bpH0kbP(df~w%XX?FNMC({%vzKx+3 zXE-d4hv*Z2={r`AS4$64AM*N#-JKnWOt`!hK3>L&FqXn|EPfsFVL<aFnojZhQiklZ z@OD}D^s)+x_4y$mAx~JOn1u~xa;WfXVM;G+?Uo&(^oL@0$)<C+C;^z#%Q%E7!#$Kf z{mxx0id(!CK`s2|V-F_vr84DCe#9y(kXNTKU%vg}&3hBB@<`x7r6t0t8S)M9xqI$q z5(141zpIciNhBm$0O`2+Jo0jqjl(|{*K>Pd_J>$`1@h$8kH<g1d~YFC=^M0Gf<FrR zYYAC!*pgKt9Y4TYfCE#ETVTSR1e3fh?v>4yUKYhmM%<0vqI%f^S%yO`n%4glW)CMy zYeQDIwr6!~&+0rdlplRoA84`qK!oC+)v=FNHdOvriWRe{4P^zDE2f;|wTUMus4`C# zv*7Tuth9E|>H~#|h}B`rZNus<kTq7fct9^%jny5W_bE`Yv^WLZikBs;do@-k$SMVc zGFu07h1G$>R$1MXke-dLg`=6(CI4X+@$M0<&LP`by%ACgf?7DO{U@=yy&<dH+q1g8 zXLTO`%8xdyo1PA<+xDK-Cou6yW+^=lrsQyW!fT3I*iiPbWOZBROJa4HaxJW0NOfqq zsxOH-q0nlBtg*VyyBR*8Nd0q2%Pv@5TFB~NvsQO%tWJ=vtgZvO!s@_bE3EDnTHO@< z-oomV|1eBcR_BndtlkXy8Cc!fkkyUtS>4#PI*)(lN1xSgEmrsTtp3%qI+7B-&WP1v z%5B5yEs!-<H(FTTMGE9^2VrqmSKmE_R(FjWs}p29t7|~6usU$qDytV--9*>8!%Z0& z$$wZyWpxhO&gzYjpMli}g4M60d@*Aum?0PWaZG4ZEQmLJCAqF#I_0_Xb(8CH7|Dz& zbli*IzhrV<v>gYq=>Xy4yU&_jH+zQbK%)Ms<oY0RG^pM2jLoS15Z1M3i(F=`o4N+d zK*7Os0l#?2PGJcnV#CRwi+PSKK@Iny40J?&&E&mB^+7S?dJX0ckK_~A{z7fM;ptR9 zX7X`{?=X$e2}5=e*>K+Uvp{7AvgzEKzE@@-Hji@cP)r`7gJk0whuaY)M$h%o6hpCO zH@4%2p=lcdFfvxDzyTc(U!Pj<k=E2t0Ufws6*x%S<6l)c(?G?K-q}Wx<M2E+Uj*J+ zVQ71v)j#HG8{wUVyBMBZCM+o+wCbG>obZlT00szAgYyKJgLm>n0G{FVEL7Qdb`XY+ z6}bH&Z%PGjcyVr7P2Oq1Rr}6I@2YU7LEbsO#d{IF3kGN^-oeQFo^RCpj>AD??WVrd zfvfgiAp1^%Gfm->(F3v*-f?zRysNy4unP4ZhpT=s(uZd&TsdVLnL@Xa80B+kzRTs~ zFU5*{Oe8JtVsR6vu{_9x^QNp=<h)_vXlUtNmcYd_*o)Om?gc!XXbjgn$tAc(CZ0>m z=wkXoU4&Djb5%|&>5MAxP@LA_O4oG*v9TVdX<ZHJCsOu}(hn|y^aJJge=Pkh^V#=R zIJigSDe31pzK-+@EWzRH^3c~J{fMW<WNz5Qu?yiRnWB0kcA>L2!$}UPp7=B;cA#@B z!6~v2oFabHR(%0}K$qG;QGIo^s!AhLt7^zLH2w)*5ouMlX3ZC<c?ZyZHYifZbNa`~ z*A=waT#i02`P$Hw^gt4N_%5iSd6dAgOHJk1)iUlK5eN82qJ^WKzy5{GJwz_wEubGC zMFTO#52d9pBGk}aN?QPjV6WioD{z|LS)AbOXw_xaa!gy$%3y4K6=@dIJYAQ^G(Rz+ z6(XKLr=IFkBY2-P*1|S?NOt(0DTY`?Mqz^s+Jr;GiAIvnICNywxh#Q;B}wRND%C$F z@KFT?>IVsls>b~U^_BlJM9He*mCkEMV@oxXRo>RJ>gs%ZUG;@GtUi@fvta-7GjCY6 zO$}jX+d9H(uoqS>lYol#$PTG+hg>I_m6ILWTTq=!3}n-}mFX05g>)v~>pa;_9-(_= z<GG@Tyt?M7=F}>1RY64TrUzHeyfoz049>XRJ1)_<qdwnts%XDbUzLrOIklQ3DmP1M zzzOe2uQe$whw?%&VMT9(im}y&f8`|sd<q?1foqd7EpX+qQ-E)DrdhGqG3#%8rzumL zKL0Kq11}B;MVdfLh&CmM+G3PuI_MY`Z$~kTI+gFu_BC`2{A&z&-k2VOOIK&xFAe_V zb<Fdw4I<0wtPR3af<}VK1+|0oiLFaWUrT~W^P>&9X|H3{wLzc1po!>K)&|$rF|BZ$ z=@^(p4Kyqm3&v7-Cv{943&RX6g+~duBNpB(1xkT`rAh&)xj95PR0=BIj$*V>3W0`F z5c|m*l>%Ja#X`~-{RiEr@*Sr2y!j4TCAYNl9Ro{8C%D00Cp`MaM|>^6Qd*L`dz}D6 zsgj`Z`R172>4Y}84RwNB+e0)6!@%`v4^c%Y;I^9MW84W-U9?ekLK|E)-vR%aAa>~} zaD!q+iEqt;Zsaq(;T6Hz6v~Ty2Zw9h2V*zG?dT0bvtr%Pi+|F(4YlF%nT;Jzp8)%P lFbq-?u8aTmLNl9E9gW+{b@suiaJ!4n&fmA#@%|Y`000L+>v;eG diff --git a/implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz b/implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz deleted file mode 100644 index e252c8ab43854b43d91dba7d4ec0986f6c2d8e20..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3220 zcmV;F3~TcriwFP!000001MOW~Z`(!|e%G%UAP-Ft*y2sR80dpzr>)Vho!Dv7qDTp? z%sAZ0lqyk9jP?HaJ##sni%5$iDX+bzfOv)+^5fymxqLh`WL~^z^&7k(<i{jS7UMj* zB>%iUAnbQEzD%-7LQb-5cC%U%JKVRey}4yMu}x1oyATKR!|C5&(ropOd<*^9_g?-q zTju2Cn9L`)OV56#UuBkwlRvByayH2c35o3-jDiE(CXQuC^zh?knO@<6{3?^#^*m2j z3)*}%yS^T0m#P$bdY$mI$liRByqk{U2KHvF{5V~ZVe)N0Tjaz1dOrM=&K60Qr`c@y zZZZ2;GRc?2e3j1@X)=wo)pfE+|6V0SyxcIoo==l&IAffrvurre7DKpJnq3WAb^VJM zgLmWnGqDfIKi+;I7fHUFlcUec<O}(l!v1$@MkZMvFOsW2-H*egpUL2Db~&G=Sx#?T zX*wn^Z(flJJrxF#olK_5_!2}U$>mi-W}nD+lj)c525*w9G!qaAV0|3EColhcMe;>@ zb(Jib9*_zECh?Dp*=oK#ApZu@9QgO2!C^L@-Y(N6`7KSp9+2g9_B9^g49@b;$%25? z<Z!V_Z^l#d0S1YWCjD#eTb4E06ZbCn>oqtk^aroWyU*igLM}e1pFm~Se)hgIqFBFz z-Y)Vn^wRCxG4zTyT=9Eyp8UN^>8<Jli8Jhd%l81QTxx{1_I=+0tP9YzFXcdRsI~7| z&VZeBG@ZeL=PBI9TRJSIKi(&->3br_t5O!_c(@lj<aCS<_!VF8b@O{oINlu>nW`rH z-SHcN-KPl*3T-}0rc>H>2PQln-$IGKcqe=PPRC<d{3KQtmtlC=rtA}AM)wp|A)j%( z;{LH4u%t&}9ZR_f8l`+`42FX;P=Wq}jXT13qfE3N>$GHJ!uPP@hbs1;Vs&8AYk0>$ z{BZu$``>MfCsAaLt5Z4NdnN>zFN<x9Zt8fB@6byE2-cwL`<{Y#<$6IJ+pZN2-<04T z{qpnS>9O;>3#Uk_>f!yN<FP)|@oWeHTs}9TS74$B!AO_SiDNeioZ%ab9#Y&d!#g`X zactUs(4@*&2an5l!_Lj(Q5l|)3I^5lQNGX%HTm2qjy)&xhllKDbiBi}6UQl$uMVEx ze<71k$FnWoYu5f~#5#u#bu^-bj{0}yx-h<B5L!n6aXjchx2*r_;OYGrVgD(3wvGA+ zo|E?<bG3?mXde*qj+wq-|8YEBpCNiM6y}M`@T74Yj$zA9^nbhd;4)x#@5J#Qm{}1< zFJOphAG2TtyG<Y7u?rU$rsGt&ZKb-c2gk9+vZVu8q*FY{UX}mmj0{fF<3XXHy8A&z zHQ=P^Tk4~JIE*agWljwy=~OdGXaXh)MDYKZNjkRmpiC0nuSZ!aav-7j%<$wN@Yl9q zhe<jfw~}4^ArP}?lA4hTQ$>r#k{*L~Eae_(l##asCh4e54I?Eyzcpo&Rd`LAWJpPo zWE!oRWEGyyggD!)!z80Xm(K;J>jj?4bXib5WjyzOCRv52GohdiFW~%<GRcTWM9S2{ zKpkIT;`Z>6w$L9Of=r0xot*y0c%;d6@5ckl_^*guS?n`-1J6hKz%!wI;L4Q#L;Yi6 zgC2rRoa0?ogu)6uX*`<QZM}gkXV)HbmrQLBZ-VJXEvg3n-#=~>LitsxQ{%L!P*Qw+ zuToN#uPJyPDk&puZVkd_R}(g9x;SaY*q~d$*FWy$pJR_6nzFg08kEf&d37jTX!n#2 z62H$i`4`e85K<x~WR_93P2LD7f>(uSP&S9s0v*p`c{G;%V>|<*zO^5W49XU2lmkOL z<ZS?tQUsUL2mR^Rxff5R97tgxH@S}I7s)@jpd6uXP&Pk~9Y1ubl;F*Eyn?Yola~Ce zfu~b8xLv?7@B)<2v#3z;1IiZa$v@A7<lo2(1f@jzINs^mInVtW^3}oP^5J-ROg;n8 z<5Gc=j3|#}@<pziJVpKEfuo3qS<LZteZd%5iPvE?q{5P(vOPy9Nhw>YQ{%*^P||HE zn}XM&k}}HX)u3#gY?jW}LKCHIZKrD=Ic2kh2d8Xs#m!kZcs8y<*+!1vQ?}<z*<kn~ zdYY82d6umb&!B8|=W7F#a<rVUt;EwQ2hW7n$g+hIO=w~NK^CiJmaP&`r);&eY>_sf zjFgBwW{5UO**IP$C6ZY?6|ci+(^De0VCb1rqH?FfiA57iq~dj`q>K`cYEYsLS**8R zP$D2zk9P^10~34?xr7ZS9^E=i*uXv4p+r%i#iGj>pQAT|EXOD+%VM>lL{)g45@84~ zUBV`EIk4nR$?7;pmPn^WI-X8pWR{~2o=!PRDUrwxS^HpFG7F<l>>=f#S(vx<kU~C) z`DWHm%2$Ecp*Qa-$Frs!rAFC23!^%X4waNq4!;KF;O?n33j<AEtaN(Rgu`~C2PGV^ zkkhei<Y3&WP7cO*`Ze><m~g=GLnOo;0tU?HgrgF#Dd89y(>`qpM-^UE!r`maK9GYM z#c||^xMp4_9CQu&n>TdTw=r#|5SS`FJx4?*2VvSw$73^$bgm36A6ntZTt)f#@-^Q$ z&BgJGg+!)&<#-*&nkP?)wqHop@j4<l-b^c=)SB-~e^EAVU+AZ9KFHi*f=h+#NIh}Q zcTj@~-Jg7E$Akd;vA;d&K#JpmQ!iFfg9$l7oi*RS+oxWhGZS*lQZEgk${+}XI+a9T zX2mo(W8{Sw@nBP*{Q!NXhX4rHpc=7!hso3cPmknfc<3N2@j7yq#d6wyfyr~sU()zn zrSxUtTvvLjq*ycXI#g1Y+6Zd|^bHG4+Rdf_I6M{6B`S}2HpTNEJf!<|Lb?~$m`(9V z5qFroc1ZneTzAF`B*I$JXtAV6VI51k2O4EEqWx=JF#IJUxZ&I`4e3>QMslNUO)z_R zorQE!V<Ek40Sw4z%vO}(p^t=+UXCX_*BF>BH}S!C*A~>j=0hLejlmIvh+~N*Jqqhs z%01B7nGw+tT&46)L%M<|g*R?|%T0VnkM0TSi)Eh0%iC<izVpL*y*6jDc0jY|bsYvW zsgM1s-L}IA4e^kabe%dO9$pUG{LP;r3Z8n1SCt*zEvEC7cM@5055FJ*8|)(zP_jow z@iCxANkxjmQDnh(>6<7Ll=Z(V!S7Ajxnb_gCRVYm<;}Q^AP#?)*7yPntK0(JC0|y7 zDyWV^57z8<-M{e*!Z{lfk(;qOVc>EX7yi@wXkt6;trA8XM2+V3U3Jc;I34|(nhz)% zORyCRQI0JKL)FlBb=2=CO($3v;GIZKD?Zl6lnyPmlW)Cn%@dcxD`LqmR~*sOHkCog zDwfTSvRqQd8i8lrXN?tExD94#fXdrwr@}b;EQw%SoN}Beli5wOxUKrGU<u#A$yR7O zKjp*M<eM;siKG4hulYvN75tv`zc3~ie9P}4X9?hYg?2r@Vb|ar(bIp;SX2MHZVZO8 zjBwC^wf)xzxlS1FOel)tD*(JWvZY%S_pJH=tYg{Sy-6uWtzc8^4m8pM`U5*LjlX8R zbw~%CFCk?Oc5VPKXC1c2IvBXa(zu*<P;ueMtVof(#Cy>LO-jSSs}j9<83SAnh^DFS zHc-!>H1WWj5Oz@zj_NPV!I(6#Pk}EAt^kITLtA~56eEfQizOXVtYaznK%+DijoeE` z!*^8+yDMa+vP;{5u%p|CqQ2`UXU@k-ri?WMPYT~!Nja+!pDHdwX~oxy@1LINS%p*! zR_pf5#XYO2R(8WtLGKs73hL5b!A8$2m~OIe*^tSoaBiCe|GJA4*Hls&051KdtZfG0 z1*-tZhTe<bbk8b^@4M6Z(0_BBc^(mUG5BIh+pvzM+yjlfXB7>n7<bJo#Aj<av5NcO z=Rmvca}~me_N;<F3GC=Aw#?AQpO&Pe(UTA#WAPv)3Nh|QG=^<#fwKNn5x*_rqNJx{ zyOm9>Vp+?V6>>M68_}PZ8l@?A$tNf_W)Eb|$Rpep|8)y^G;~{)bfO}ej8`$R<zT29 z+OCfJ{ghJ_cn`uL3f3<ve<$-H0$wpY(<ryHL@}B;s93^qViild2O7605~{$GV;gZ_ z)7y3Gu5k8p6EonX=&jTfMwaI$#J5y8v55QsCnYq;o<#`7?O8<6A{6h?vk39uGnKoz zZn6?vvIy{K=(c(mL3X)dvu6?fnL;J+=p1+piyCxS4HnV(f6!4M0=i=ngZ~2Q!AmDf Ga{vJ9`aCKC diff --git a/implementation/syn/netlist/compteur.elab.v b/implementation/syn/netlist/compteur.elab.v deleted file mode 100644 index 65c5639..0000000 --- a/implementation/syn/netlist/compteur.elab.v +++ /dev/null @@ -1,154 +0,0 @@ - -// Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1 -// Generated on: Oct 8 2019 12:54:52 EDT (Oct 8 2019 16:54:52 UTC) - -// Verification Directory fv/compteur - -module add_unsigned(A, B, Z); - input [3:0] A; - input B; - output [3:0] Z; - wire [3:0] A; - wire B; - wire [3:0] Z; - wire n_11, n_18, n_21, n_28, n_30, n_34, n_35, n_37; - wire n_38; - xor g1 (Z[0], A[0], B); - nand g2 (n_11, A[0], B); - nand g13 (n_21, n_18, A[1]); - nand g20 (n_30, n_28, A[2]); - xnor g25 (Z[1], n_18, n_34); - xnor g27 (Z[2], n_28, n_35); - xnor g30 (Z[3], n_37, n_38); - not g35 (n_18, n_11); - not g36 (n_34, A[1]); - not g37 (n_35, A[2]); - not g38 (n_38, A[3]); - not g39 (n_28, n_21); - not g40 (n_37, n_30); -endmodule - -module bmux(ctl, in_0, in_1, z); - input ctl; - input [1:0] in_0, in_1; - output [1:0] z; - wire ctl; - wire [1:0] in_0, in_1; - wire [1:0] z; - CDN_bmux2 g1(.sel0 (ctl), .data0 (in_0[1]), .data1 (in_1[1]), .z - (z[1])); - CDN_bmux2 g2(.sel0 (ctl), .data0 (in_0[0]), .data1 (in_1[0]), .z - (z[0])); -endmodule - -module bmux_7(ctl, in_0, in_1, z); - input ctl; - input [3:0] in_0, in_1; - output [3:0] z; - wire ctl; - wire [3:0] in_0, in_1; - wire [3:0] z; - CDN_bmux2 g1(.sel0 (ctl), .data0 (in_0[3]), .data1 (in_1[3]), .z - (z[3])); - CDN_bmux2 g2(.sel0 (ctl), .data0 (in_0[2]), .data1 (in_1[2]), .z - (z[2])); - CDN_bmux2 g3(.sel0 (ctl), .data0 (in_0[1]), .data1 (in_1[1]), .z - (z[1])); - CDN_bmux2 g4(.sel0 (ctl), .data0 (in_0[0]), .data1 (in_1[0]), .z - (z[0])); -endmodule - -module compteur(i_clk, i_rstn, i_en, o_cnt); - input i_clk, i_rstn, i_en; - output [3:0] o_cnt; - wire i_clk, i_rstn, i_en; - wire [3:0] o_cnt; - wire [3:0] plus_57_22; - wire [1:0] rstn_sync; - wire UNCONNECTED, UNCONNECTED0, UNCONNECTED1, UNCONNECTED2, - UNCONNECTED3, UNCONNECTED4, n_20, n_21; - wire n_23, n_24, n_25, n_26, n_27, n_43, n_44, n_46; - add_unsigned add_57_22(.A (o_cnt), .B (1'b1), .Z (plus_57_22)); - bmux mux_rstn_sync_39_16(.ctl (n_20), .in_0 ({rstn_sync[0], 1'b1}), - .in_1 (2'b00), .z ({UNCONNECTED0, UNCONNECTED})); - bmux_7 mux_cnt_54_17(.ctl (n_23), .in_0 (plus_57_22), .in_1 - (4'b0000), .z ({n_27, n_26, n_25, n_24})); - bmux_7 mux_cnt_50_14(.ctl (n_21), .in_0 ({n_27, n_26, n_25, n_24}), - .in_1 (4'b0000), .z ({UNCONNECTED4, UNCONNECTED3, UNCONNECTED2, - UNCONNECTED1})); - CDN_flop \rstn_sync_reg[0] (.clk (i_clk), .d (1'b0), .sena (1'b0), - .aclr (n_20), .apre (1'b0), .srl (1'b1), .srd (1'b1), .q - (rstn_sync[0])); - CDN_flop \rstn_sync_reg[1] (.clk (i_clk), .d (rstn_sync[0]), .sena - (1'b1), .aclr (n_20), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q - (rstn_sync[1])); - CDN_flop \cnt_reg[0] (.clk (i_clk), .d (n_24), .sena (i_en), .aclr - (n_21), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q (o_cnt[0])); - CDN_flop \cnt_reg[1] (.clk (i_clk), .d (n_25), .sena (i_en), .aclr - (n_21), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q (o_cnt[1])); - CDN_flop \cnt_reg[2] (.clk (i_clk), .d (n_26), .sena (i_en), .aclr - (n_21), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q (o_cnt[2])); - CDN_flop \cnt_reg[3] (.clk (i_clk), .d (n_27), .sena (i_en), .aclr - (n_21), .apre (1'b0), .srl (1'b0), .srd (1'b0), .q (o_cnt[3])); - nand g18 (n_46, o_cnt[0], n_43, n_44, o_cnt[3]); - not g19 (n_23, n_46); - not g20 (n_20, i_rstn); - not g21 (n_21, rstn_sync[1]); - not g22 (n_43, o_cnt[1]); - not g23 (n_44, o_cnt[2]); -endmodule - -`ifdef RC_CDN_GENERIC_GATE -`else -module CDN_flop(clk, d, sena, aclr, apre, srl, srd, q); - input clk, d, sena, aclr, apre, srl, srd; - output q; - wire clk, d, sena, aclr, apre, srl, srd; - wire q; - reg qi; - assign #1 q = qi; - always - @(posedge clk or posedge apre or posedge aclr) - if (aclr) - qi <= 0; - else if (apre) - qi <= 1; - else if (srl) - qi <= srd; - else begin - if (sena) - qi <= d; - end - initial - qi <= 1'b0; -endmodule -`endif -`ifdef RC_CDN_GENERIC_GATE -`else -`ifdef ONE_HOT_MUX -module CDN_bmux2(sel0, data0, data1, z); - input sel0, data0, data1; - output z; - wire sel0, data0, data1; - reg z; - always - @(sel0 or data0 or data1) - case ({sel0}) - 1'b0: z = data0; - 1'b1: z = data1; - endcase -endmodule -`else -module CDN_bmux2(sel0, data0, data1, z); - input sel0, data0, data1; - output z; - wire sel0, data0, data1; - wire z; - wire inv_sel0, w_0, w_1; - not i_0 (inv_sel0, sel0); - and a_0 (w_0, inv_sel0, data0); - and a_1 (w_1, sel0, data1); - or org (z, w_0, w_1); -endmodule -`endif // ONE_HOT_MUX -`endif diff --git a/implementation/syn/netlist/compteur.syn.sdf b/implementation/syn/netlist/compteur.syn.sdf deleted file mode 100644 index 9a80714..0000000 --- a/implementation/syn/netlist/compteur.syn.sdf +++ /dev/null @@ -1,323 +0,0 @@ -(DELAYFILE - (SDFVERSION "OVI 2.1") - (DESIGN "compteur") - (DATE "Tue Oct 08 12:54:55 EDT 2019") - (VENDOR "Cadence, Inc.") - (PROGRAM "Genus(TM) Synthesis Solution") - (VERSION "18.10-p003_1") - (DIVIDER .) - (VOLTAGE ::0.9) - (PROCESS "::1.0") - (TEMPERATURE ::125.0) - (TIMESCALE 1ps) - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[1\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (IOPATH RN Q () (::71)) - (IOPATH CK Q (::227) (::198)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::99)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - (HOLD (negedge D) (posedge CK) (::0.0)) - (HOLD (posedge D) (posedge CK) (::0.0)) - (SETUP (negedge D) (posedge CK) (::76)) - (SETUP (posedge D) (posedge CK) (::135)) - ) - ) - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE rstn_sync_reg\[0\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (IOPATH RN Q () (::96)) - (IOPATH CK Q (::249) (::224)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::99)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - ) - ) - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[3\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (IOPATH RN Q () (::151)) - (IOPATH CK Q (::307) (::290)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::91)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - (HOLD (negedge D) (posedge CK) (::0.0)) - (HOLD (posedge D) (posedge CK) (::0.0)) - (SETUP (negedge D) (posedge CK) (::127)) - (SETUP (posedge D) (posedge CK) (::210)) - ) - ) - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[2\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (PORT SI (::0.0)) - (PORT SE (::0.0)) - (IOPATH RN Q () (::148)) - (IOPATH CK Q (::307) (::287)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::91)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - (HOLD (negedge D) (posedge CK) (::0.0)) - (HOLD (posedge D) (posedge CK) (::0.0)) - (SETUP (negedge D) (posedge CK) (::166)) - (SETUP (posedge D) (posedge CK) (::242)) - (HOLD (negedge SI) (posedge CK) (::0.0)) - (HOLD (posedge SI) (posedge CK) (::0.0)) - (SETUP (negedge SI) (posedge CK) (::254)) - (SETUP (posedge SI) (posedge CK) (::266)) - (HOLD (negedge SE) (posedge CK) (::0.0)) - (HOLD (posedge SE) (posedge CK) (::0.0)) - (SETUP (negedge SE) (posedge CK) (::278)) - (SETUP (posedge SE) (posedge CK) (::246)) - ) - ) - (CELL - (CELLTYPE "OAI31X1") - (INSTANCE g337__8780) - (DELAY - (ABSOLUTE - (PORT A0 (::0.0)) - (PORT A1 (::0.0)) - (PORT A2 (::0.0)) - (PORT B0 (::0.0)) - (IOPATH A0 Y (::250) (::223)) - (IOPATH B0 Y (::141) (::207)) - (IOPATH A1 Y (::187) (::153)) - (IOPATH A2 Y (::263) (::184)) - ) - ) - ) - (CELL - (CELLTYPE "SDFFRHQX1") - (INSTANCE cnt_reg\[1\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (PORT SI (::0.0)) - (PORT SE (::0.0)) - (IOPATH RN Q () (::183)) - (IOPATH CK Q (::336) (::322)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::91)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - (HOLD (negedge D) (posedge CK) (::0.0)) - (HOLD (posedge D) (posedge CK) (::0.0)) - (SETUP (negedge D) (posedge CK) (::240)) - (SETUP (posedge D) (posedge CK) (::301)) - (HOLD (negedge SI) (posedge CK) (::0.0)) - (HOLD (posedge SI) (posedge CK) (::0.0)) - (SETUP (negedge SI) (posedge CK) (::178)) - (SETUP (posedge SI) (posedge CK) (::256)) - (HOLD (negedge SE) (posedge CK) (::0.0)) - (HOLD (posedge SE) (posedge CK) (::0.0)) - (SETUP (negedge SE) (posedge CK) (::230)) - (SETUP (posedge SE) (posedge CK) (::196)) - ) - ) - (CELL - (CELLTYPE "AOI22X1") - (INSTANCE g339__4296) - (DELAY - (ABSOLUTE - (PORT A0 (::0.0)) - (PORT A1 (::0.0)) - (PORT B0 (::0.0)) - (PORT B1 (::0.0)) - (IOPATH A0 Y (::209) (::224)) - (IOPATH B0 Y (::223) (::217)) - (IOPATH A1 Y (::193) (::203)) - (IOPATH B1 Y (::132) (::136)) - ) - ) - ) - (CELL - (CELLTYPE "DFFRHQX1") - (INSTANCE cnt_reg\[0\]) - (DELAY - (ABSOLUTE - (PORT RN (::0.0)) - (PORT CK (::0.0)) - (PORT D (::0.0)) - (IOPATH RN Q () (::136)) - (IOPATH CK Q (::295) (::275)) - ) - ) - (TIMINGCHECK - (HOLD (posedge RN) (posedge CK) (::91)) - (RECOVERY (posedge RN) (posedge CK) (::0.0)) - (HOLD (negedge D) (posedge CK) (::0.0)) - (HOLD (posedge D) (posedge CK) (::0.0)) - (SETUP (negedge D) (posedge CK) (::83)) - (SETUP (posedge D) (posedge CK) (::164)) - ) - ) - (CELL - (CELLTYPE "INVX1") - (INSTANCE g341) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::182) (::122)) - ) - ) - ) - (CELL - (CELLTYPE "OAI21X1") - (INSTANCE g342__3772) - (DELAY - (ABSOLUTE - (PORT A0 (::0.0)) - (PORT A1 (::0.0)) - (PORT B0 (::0.0)) - (IOPATH A0 Y (::218) (::236)) - (IOPATH B0 Y (::70) (::138)) - (IOPATH A1 Y (::121) (::134)) - ) - ) - ) - (CELL - (CELLTYPE "NAND2X1") - (INSTANCE g343__1474) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (PORT B (::0.0)) - (IOPATH A Y (::202) (::280)) - (IOPATH B Y (::98) (::185)) - ) - ) - ) - (CELL - (CELLTYPE "NOR2X1") - (INSTANCE g344__4547) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (PORT B (::0.0)) - (IOPATH A Y (::204) (::171)) - (IOPATH B Y (::118) (::87)) - ) - ) - ) - (CELL - (CELLTYPE "AOI2BB1X1") - (INSTANCE g345__9682) - (DELAY - (ABSOLUTE - (PORT A0N (::0.0)) - (PORT A1N (::0.0)) - (PORT B0 (::0.0)) - (IOPATH A1N Y (::172) (::178)) - (IOPATH B0 Y (::113) (::79)) - (IOPATH A0N Y (::131) (::136)) - ) - ) - ) - (CELL - (CELLTYPE "AND2X1") - (INSTANCE g346__2683) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (PORT B (::0.0)) - (IOPATH A Y (::169) (::119)) - (IOPATH B Y (::227) (::176)) - ) - ) - ) - (CELL - (CELLTYPE "CLKAND2X3") - (INSTANCE g347__1309) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (PORT B (::0.0)) - (IOPATH A Y (::117) (::111)) - (IOPATH B Y (::155) (::163)) - ) - ) - ) - (CELL - (CELLTYPE "INVX3") - (INSTANCE g348) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::109) (::109)) - ) - ) - ) - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE drc_bufs351) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::479) (::558)) - ) - ) - ) - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE drc_bufs354) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::452) (::529)) - ) - ) - ) - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE drc_bufs357) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::452) (::527)) - ) - ) - ) - (CELL - (CELLTYPE "CLKBUFX20") - (INSTANCE drc_bufs360) - (DELAY - (ABSOLUTE - (PORT A (::0.0)) - (IOPATH A Y (::441) (::516)) - ) - ) - ) -) diff --git a/implementation/syn/netlist/compteur.syn.v b/implementation/syn/netlist/compteur.syn.v deleted file mode 100644 index a3a1059..0000000 --- a/implementation/syn/netlist/compteur.syn.v +++ /dev/null @@ -1,44 +0,0 @@ - -// Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1 -// Generated on: Oct 8 2019 12:54:55 EDT (Oct 8 2019 16:54:55 UTC) - -// Verification Directory fv/compteur - -module compteur(i_clk, i_rstn, i_en, o_cnt); - input i_clk, i_rstn, i_en; - output [3:0] o_cnt; - wire i_clk, i_rstn, i_en; - wire [3:0] o_cnt; - wire [1:0] rstn_sync; - wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7; - wire n_8, n_9, n_10, n_11, n_12, n_13; - DFFRHQX1 \rstn_sync_reg[1] (.RN (i_rstn), .CK (i_clk), .D - (rstn_sync[0]), .Q (rstn_sync[1])); - DFFRHQX1 \rstn_sync_reg[0] (.RN (i_rstn), .CK (i_clk), .D (1'b1), .Q - (rstn_sync[0])); - DFFRHQX1 \cnt_reg[3] (.RN (rstn_sync[1]), .CK (i_clk), .D (n_9), .Q - (n_13)); - SDFFRHQX1 \cnt_reg[2] (.RN (rstn_sync[1]), .CK (i_clk), .D (n_6), .SI - (n_7), .SE (n_12), .Q (n_12)); - OAI31X1 g337__8780(.A0 (n_13), .A1 (n_0), .A2 (n_7), .B0 (n_8), .Y - (n_9)); - SDFFRHQX1 \cnt_reg[1] (.RN (rstn_sync[1]), .CK (i_clk), .D (n_11), - .SI (n_4), .SE (n_1), .Q (n_11)); - AOI22X1 g339__4296(.A0 (n_13), .A1 (n_5), .B0 (n_11), .B1 (n_2), .Y - (n_8)); - DFFRHQX1 \cnt_reg[0] (.RN (rstn_sync[1]), .CK (i_clk), .D (n_3), .Q - (n_10)); - INVX1 g341(.A (n_7), .Y (n_6)); - OAI21X1 g342__3772(.A0 (n_11), .A1 (n_0), .B0 (n_1), .Y (n_5)); - NAND2X1 g343__1474(.A (n_11), .B (n_1), .Y (n_7)); - NOR2X1 g344__4547(.A (n_11), .B (n_2), .Y (n_4)); - AOI2BB1X1 g345__9682(.A0N (i_en), .A1N (n_10), .B0 (n_1), .Y (n_3)); - AND2X1 g346__2683(.A (n_0), .B (n_13), .Y (n_2)); - CLKAND2X3 g347__1309(.A (i_en), .B (n_10), .Y (n_1)); - INVX3 g348(.A (n_12), .Y (n_0)); - CLKBUFX20 drc_bufs351(.A (n_11), .Y (o_cnt[1])); - CLKBUFX20 drc_bufs354(.A (n_13), .Y (o_cnt[3])); - CLKBUFX20 drc_bufs357(.A (n_12), .Y (o_cnt[2])); - CLKBUFX20 drc_bufs360(.A (n_10), .Y (o_cnt[0])); -endmodule - diff --git a/implementation/syn/reports/compteur.check.rpt b/implementation/syn/reports/compteur.check.rpt deleted file mode 100644 index 527cade..0000000 --- a/implementation/syn/reports/compteur.check.rpt +++ /dev/null @@ -1,615 +0,0 @@ - - Check Design Report - -------------------- - - Long Module Names ----------------------- -No subdesign's name is greater than 1.5k in length. - - Unresolved References & Empty Modules - ------------------------------------- -No unresolved references in design 'compteur' - -No empty modules in design 'compteur' - - Unloaded Pin(s), Port(s) - ------------------------- -No unloaded sequential element in 'compteur' - -No unloaded port in 'compteur' - - Unloaded Combinational Pin(s) - ------------------------------- -No unloaded combinational element in 'compteur' - - Assigns - ------- -Total number of assign statements in design 'compteur' : 0 - - Undriven Port(s)/Pin(s) - ------------------------ -No undriven combinational pin in 'compteur' - -No undriven sequential pin in 'compteur' - -No undriven hierarchical pin in 'compteur' - -No undriven port in 'compteur' - - Multidriven Port(s)/Pin(s) --------------------------- - -No multidriven combinational pin in 'compteur' - -No multidriven sequential pin in 'compteur' - -No multidriven hierarchical pin in 'compteur' - -No multidriven ports in 'compteur' - -No multidriven unloaded nets in 'compteur' - - Constant Pin(s) - ---------------- -No constant combinational pin(s) in design 'compteur' - -No constant sequential pin(s) in design 'compteur' - -design 'compteur' has the following constant input hierarchical pin(s) -hpin:compteur/add_57_22/B (fanout : 2) -hpin:compteur/mux_rstn_sync_39_16/in_1[0] (fanout : 1) -hpin:compteur/mux_rstn_sync_39_16/in_1[1] (fanout : 1) -hpin:compteur/mux_cnt_54_17/in_1[0] (fanout : 1) -hpin:compteur/mux_cnt_54_17/in_1[1] (fanout : 1) -hpin:compteur/mux_cnt_54_17/in_1[2] (fanout : 1) -hpin:compteur/mux_cnt_54_17/in_1[3] (fanout : 1) -hpin:compteur/mux_cnt_50_14/in_1[0] (fanout : 1) -hpin:compteur/mux_cnt_50_14/in_1[1] (fanout : 1) -hpin:compteur/mux_cnt_50_14/in_1[2] (fanout : 1) -hpin:compteur/mux_cnt_50_14/in_1[3] (fanout : 1) -hpin:compteur/mux_rstn_sync_39_16/in_0[0] (fanout : 1) -Total number of constant hierarchical pins in design 'compteur' : 12 - -No constant connected ports in design 'compteur' - - Preserved instances(s) - ---------------- -No preserved combinational instance(s) in design 'compteur' -No preserved sequential instance(s) in design 'compteur' -No preserved hierarchical instance(s) in design 'compteur' - - Physical only instances(s) - ---------------- -No physical only instance(s) in design 'compteur' - - Logical only instance(s) and linked libcells - ----------------------------------------- -No logical only instance(s) in design 'compteur' - -Libcells with no corresponding LEF ----------------------------------- -lib_cell:default_emulate_libset_max/slow_vdd1v0/ACHCONX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFHX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFHX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFHX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFHXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDHX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDHX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDHX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/ADDHXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AND4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/ANTENNA -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO21X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO21X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO21X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO21XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO22X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO22X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO22X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AO22XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI211X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI211X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI211X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI211XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI21X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI21X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI21X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI21XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI221X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI221X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI221X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI221XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI222X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI222X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI222X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI222XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI22X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI22X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI22X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI22XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB1X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB1X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB1X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB1XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI2BB2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI31X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI31X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI31X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI31XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI32X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI32X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI32X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI32XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI33X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI33X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI33X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/AOI33XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/BMXIX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BMXIX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/BUFX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKAND2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKBUFX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKINVX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKMX2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKXOR2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKXOR2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKXOR2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/CLKXOR2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP10 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP5 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP7 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DECAP9 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFNSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFNSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFNSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFNSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFQXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFSXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFTRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFTRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFTRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFTRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DFFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY1X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY1X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/DLY4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFTRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFTRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFTRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFTRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/EDFFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/HOLDX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/INVXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MDFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MDFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MDFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MDFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MX4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/MXI4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BBX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BBX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BBX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BBXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NAND4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BBX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BBX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BBX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BBXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4BXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/NOR4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA21X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA21X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA21X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA21XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA22X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA22X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA22X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OA22XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI211X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI211X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI211X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI211XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI21X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI21X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI21X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI21XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI221X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI221X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI221X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI221XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI222X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI222X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI222X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI222XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI22X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI22X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI22X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI22XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB1X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB1X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB1X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB1XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI2BB2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI31X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI31X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI31X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI31XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI32X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI32X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI32X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI32XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI33X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI33X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI33X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OAI33XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4X6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4X8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/OR4XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFNSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFNSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFNSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFNSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFQXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFSXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFTRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFTRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFTRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFTRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SDFFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFTRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFTRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFTRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFTRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SEDFFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/SMDFFHQX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SMDFFHQX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SMDFFHQX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/SMDFFHQX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TBUFXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/TIEHI -lib_cell:default_emulate_libset_max/slow_vdd1v0/TIELO -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNCAX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX12 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX16 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX20 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX3 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX6 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNTSCAX8 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATNXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATSRX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATSRX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATSRX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATSRXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATX1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATX2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATX4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/TLATXL -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XNOR3XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR2X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR2X2 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR2X4 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR2XL -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR3X1 -lib_cell:default_emulate_libset_max/slow_vdd1v0/XOR3XL - -Total number of cell(s) with only library (.lib) info : 489 - -LEF cells with no corresponding libcell ---------------------------------------- - -No physical (LEF) cells found. - - Summary - ------- - - Name Total -------------------------------------------- -Unresolved References 0 -Empty Modules 0 -Unloaded Port(s) 0 -Unloaded Sequential Pin(s) 0 -Unloaded Combinational Pin(s) 0 -Assigns 0 -Undriven Port(s) 0 -Undriven Leaf Pin(s) 0 -Undriven hierarchical pin(s) 0 -Multidriven Port(s) 0 -Multidriven Leaf Pin(s) 0 -Multidriven hierarchical Pin(s) 0 -Multidriven unloaded net(s) 0 -Constant Port(s) 0 -Constant Leaf Pin(s) 0 -Constant hierarchical Pin(s) 12 -Preserved leaf instance(s) 0 -Preserved hierarchical instance(s) 0 -Libcells with no LEF cell 489 -Physical (LEF) cells with no libcell 0 -Subdesigns with long module name 0 -Physical only instance(s) 0 -Logical only instance(s) 0 diff --git a/implementation/syn/reports/compteur.clk.rpt b/implementation/syn/reports/compteur.clk.rpt deleted file mode 100644 index ecad885..0000000 --- a/implementation/syn/reports/compteur.clk.rpt +++ /dev/null @@ -1,45 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:52 pm - Module: compteur - Technology library: slow_vdd1v0 1.0 - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - - Clock Description - ----------------- - - Clock Clock Source No of - Name Period Rise Fall Domain Pin/Port Registers -------------------------------------------------------------- - clk 1250.0 0.0 625.0 domain_1 i_clk 6 - - Clock Network Latency / Setup Uncertainty - ----------------------------------------- - - Network Network Source Source Setup Setup -Clock Latency Latency Latency Latency Uncertainty Uncertainty - Name Rise Fall Rise Fall Rise Fall ----------------------------------------------------------------------- -clk 0.0 0.0 0.0 0.0 100.0 100.0 - - Clock Relationship (with uncertainty & latency) - ----------------------------------------------- - - From To R->R R->F F->R F->F ------------------------------------------------ - clk clk 1150.0 525.0 525.0 1150.0 -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:52 pm - Module: compteur - Technology library: slow_vdd1v0 1.0 - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - -No clocks to report diff --git a/implementation/syn/reports/compteur.gen.area.rpt b/implementation/syn/reports/compteur.gen.area.rpt deleted file mode 100644 index ae2cfb4..0000000 --- a/implementation/syn/reports/compteur.gen.area.rpt +++ /dev/null @@ -1,12 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:53 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - -Instance Module Cell Count Cell Area Net Area Total Area --------------------------------------------------------------- -compteur 34 128.126 2.965 131.091 diff --git a/implementation/syn/reports/compteur.gen.gates.rpt b/implementation/syn/reports/compteur.gen.gates.rpt deleted file mode 100644 index ad850d6..0000000 --- a/implementation/syn/reports/compteur.gen.gates.rpt +++ /dev/null @@ -1,19 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:53 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - - Type Instances Area Area % --------------------------------------- -sequential 6 0.000 0.0 -inverter 2 0.000 0.0 -logic 26 0.000 0.0 -physical_cells 0 0.000 0.0 --------------------------------------- -total 34 0.000 0.0 - diff --git a/implementation/syn/reports/compteur.gen.power.rpt b/implementation/syn/reports/compteur.gen.power.rpt deleted file mode 100644 index 5ac205c..0000000 --- a/implementation/syn/reports/compteur.gen.power.rpt +++ /dev/null @@ -1,14 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:53 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - Leakage Dynamic Total -Instance Cells Power(nW) Power(nW) Power(nW) ------------------------------------------------- -compteur 34 0.799 127636.633 127637.432 - diff --git a/implementation/syn/reports/compteur.gen.timing.rpt b/implementation/syn/reports/compteur.gen.timing.rpt deleted file mode 100644 index cd22116..0000000 --- a/implementation/syn/reports/compteur.gen.timing.rpt +++ /dev/null @@ -1,47 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:53 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - -Path 1: MET (146 ps) Setup Check with Pin cnt_reg[3]/clk->d - Group: clk - Startpoint: (R) cnt_reg[1]/clk - Clock: (R) clk - Endpoint: (R) cnt_reg[3]/d - Clock: (R) clk - - Capture Launch - Clock Edge:+ 1250 0 - Src Latency:+ 0 0 - Net Latency:+ 0 (I) 0 (I) - Arrival:= 1250 0 - - Setup:- 119 - Uncertainty:- 100 - Required Time:= 1031 - Launch Clock:- 0 - Data Path:- 885 - Slack:= 146 - -#-------------------------------------------------------------------------------------------------- -# Timing Point Flags Arc Edge Cell Fanout Load Trans Delay Arrival Instance -# (fF) (ps) (ps) (ps) Location -#-------------------------------------------------------------------------------------------------- - cnt_reg[1]/clk - - R (arrival) 6 - 0 - 0 (-,-) - cnt_reg[1]/q (u) clk->q F unmapped_d_flop 6 506.6 0 454 454 (-,-) - g173/z (u) in_1->z R unmapped_nand2 2 2.0 0 79 533 (-,-) - g162/z (u) in_0->z R unmapped_complex2 2 2.0 0 79 611 (-,-) - g160/z (u) in_0->z F unmapped_nand2 1 1.0 0 68 680 (-,-) - g154/z (u) in_1->z R unmapped_nand2 1 1.0 0 68 748 (-,-) - g152/z (u) in_0->z F unmapped_nand2 1 1.0 0 68 816 (-,-) - g151/z (u) in_0->z R unmapped_nand2 1 1.0 0 68 885 (-,-) - cnt_reg[3]/d <<< - R unmapped_d_flop 1 - - 0 885 (-,-) -#-------------------------------------------------------------------------------------------------- - -(u) : Net has unmapped pin(s). - diff --git a/implementation/syn/reports/compteur.hier_elab.rpt b/implementation/syn/reports/compteur.hier_elab.rpt deleted file mode 100644 index 775485a..0000000 --- a/implementation/syn/reports/compteur.hier_elab.rpt +++ /dev/null @@ -1,17 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:52 pm - Module: compteur - Technology library: slow_vdd1v0 1.0 - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - Instance Cell Count TNS Cells(same hier) TNS(same hier) -------------------------------------------------------------------------- -compteur 35 0 0 0 - add_57_22 13 0 0 - mux_cnt_50_14 4 0 0 - mux_cnt_54_17 4 0 0 - mux_rstn_sync_39_16 2 0 0 diff --git a/implementation/syn/reports/compteur.map.area.rpt b/implementation/syn/reports/compteur.map.area.rpt deleted file mode 100644 index c720e63..0000000 --- a/implementation/syn/reports/compteur.map.area.rpt +++ /dev/null @@ -1,12 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:54 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - -Instance Module Cell Count Cell Area Net Area Total Area --------------------------------------------------------------- -compteur 20 90.972 22.408 113.380 diff --git a/implementation/syn/reports/compteur.map.gates.rpt b/implementation/syn/reports/compteur.map.gates.rpt deleted file mode 100644 index 446c874..0000000 --- a/implementation/syn/reports/compteur.map.gates.rpt +++ /dev/null @@ -1,40 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:54 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - - Gate Instances Area Library --------------------------------------------- -AND2X1 1 1.368 slow_vdd1v0 -AOI22X1 1 2.052 slow_vdd1v0 -AOI2BB1X1 1 2.052 slow_vdd1v0 -CLKAND2X3 1 3.078 slow_vdd1v0 -CLKBUFX20 4 32.832 slow_vdd1v0 -DFFRHQX1 4 24.624 slow_vdd1v0 -INVX1 1 0.684 slow_vdd1v0 -INVX3 1 1.368 slow_vdd1v0 -NAND2X2 1 1.710 slow_vdd1v0 -NOR2X1 1 1.026 slow_vdd1v0 -OAI21X1 1 1.710 slow_vdd1v0 -OAI31X1 1 2.052 slow_vdd1v0 -SDFFRHQX1 2 16.416 slow_vdd1v0 --------------------------------------------- -total 20 90.972 - - - - Type Instances Area Area % ---------------------------------------- -sequential 6 41.040 45.1 -inverter 2 2.052 2.3 -buffer 4 32.832 36.1 -logic 8 15.048 16.5 -physical_cells 0 0.000 0.0 ---------------------------------------- -total 20 90.972 100.0 - diff --git a/implementation/syn/reports/compteur.map.power.rpt b/implementation/syn/reports/compteur.map.power.rpt deleted file mode 100644 index 5bb9d0e..0000000 --- a/implementation/syn/reports/compteur.map.power.rpt +++ /dev/null @@ -1,14 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:54 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - Leakage Dynamic Total -Instance Cells Power(nW) Power(nW) Power(nW) ------------------------------------------------- -compteur 20 3.850 321043.714 321047.564 - diff --git a/implementation/syn/reports/compteur.map.timing.rpt b/implementation/syn/reports/compteur.map.timing.rpt deleted file mode 100644 index 6f3f09b..0000000 --- a/implementation/syn/reports/compteur.map.timing.rpt +++ /dev/null @@ -1,43 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:54 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - -Path 1: MET (32 ps) Setup Check with Pin cnt_reg[3]/CK->D - Group: clk - Startpoint: (R) cnt_reg[0]/CK - Clock: (R) clk - Endpoint: (F) cnt_reg[3]/D - Clock: (R) clk - - Capture Launch - Clock Edge:+ 1250 0 - Src Latency:+ 0 0 - Net Latency:+ 0 (I) 0 (I) - Arrival:= 1250 0 - - Setup:- 127 - Uncertainty:- 100 - Required Time:= 1023 - Launch Clock:- 0 - Data Path:- 991 - Slack:= 32 - -#--------------------------------------------------------------------------------------- -# Timing Point Flags Arc Edge Cell Fanout Load Trans Delay Arrival Instance -# (fF) (ps) (ps) (ps) Location -#--------------------------------------------------------------------------------------- - cnt_reg[0]/CK - - R (arrival) 6 - 0 - 0 (-,-) - cnt_reg[0]/Q - CK->Q R DFFRHQX1 3 5.9 135 295 295 (-,-) - g347__1309/Y - B->Y R CLKAND2X3 4 6.2 59 156 451 (-,-) - g342__3772/Y - B0->Y F OAI21X1 1 2.0 166 139 590 (-,-) - g339__4296/Y - A1->Y R AOI22X1 1 2.0 160 193 783 (-,-) - g337__8780/Y - B0->Y F OAI31X1 1 1.9 172 208 991 (-,-) - cnt_reg[3]/D <<< - F DFFRHQX1 1 - - 0 991 (-,-) -#--------------------------------------------------------------------------------------- - diff --git a/implementation/syn/reports/compteur.syn.area.rpt b/implementation/syn/reports/compteur.syn.area.rpt deleted file mode 100644 index 8d586d6..0000000 --- a/implementation/syn/reports/compteur.syn.area.rpt +++ /dev/null @@ -1,12 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:55 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - -Instance Module Cell Count Cell Area Net Area Total Area --------------------------------------------------------------- -compteur 20 90.288 22.408 112.696 diff --git a/implementation/syn/reports/compteur.syn.gates.rpt b/implementation/syn/reports/compteur.syn.gates.rpt deleted file mode 100644 index 71aa59a..0000000 --- a/implementation/syn/reports/compteur.syn.gates.rpt +++ /dev/null @@ -1,40 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:55 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - - Gate Instances Area Library --------------------------------------------- -AND2X1 1 1.368 slow_vdd1v0 -AOI22X1 1 2.052 slow_vdd1v0 -AOI2BB1X1 1 2.052 slow_vdd1v0 -CLKAND2X3 1 3.078 slow_vdd1v0 -CLKBUFX20 4 32.832 slow_vdd1v0 -DFFRHQX1 4 24.624 slow_vdd1v0 -INVX1 1 0.684 slow_vdd1v0 -INVX3 1 1.368 slow_vdd1v0 -NAND2X1 1 1.026 slow_vdd1v0 -NOR2X1 1 1.026 slow_vdd1v0 -OAI21X1 1 1.710 slow_vdd1v0 -OAI31X1 1 2.052 slow_vdd1v0 -SDFFRHQX1 2 16.416 slow_vdd1v0 --------------------------------------------- -total 20 90.288 - - - - Type Instances Area Area % ---------------------------------------- -sequential 6 41.040 45.5 -inverter 2 2.052 2.3 -buffer 4 32.832 36.4 -logic 8 14.364 15.9 -physical_cells 0 0.000 0.0 ---------------------------------------- -total 20 90.288 100.0 - diff --git a/implementation/syn/reports/compteur.syn.power.rpt b/implementation/syn/reports/compteur.syn.power.rpt deleted file mode 100644 index 44407f3..0000000 --- a/implementation/syn/reports/compteur.syn.power.rpt +++ /dev/null @@ -1,14 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:55 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - Leakage Dynamic Total -Instance Cells Power(nW) Power(nW) Power(nW) ------------------------------------------------- -compteur 20 3.823 320889.077 320892.900 - diff --git a/implementation/syn/reports/compteur.syn.timing.rpt b/implementation/syn/reports/compteur.syn.timing.rpt deleted file mode 100644 index fac5ca0..0000000 --- a/implementation/syn/reports/compteur.syn.timing.rpt +++ /dev/null @@ -1,43 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:55 pm - Module: compteur - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - - -Path 1: MET (36 ps) Setup Check with Pin cnt_reg[3]/CK->D - Group: clk - Startpoint: (R) cnt_reg[0]/CK - Clock: (R) clk - Endpoint: (F) cnt_reg[3]/D - Clock: (R) clk - - Capture Launch - Clock Edge:+ 1250 0 - Src Latency:+ 0 0 - Net Latency:+ 0 (I) 0 (I) - Arrival:= 1250 0 - - Setup:- 127 - Uncertainty:- 100 - Required Time:= 1023 - Launch Clock:- 0 - Data Path:- 987 - Slack:= 36 - -#--------------------------------------------------------------------------------------- -# Timing Point Flags Arc Edge Cell Fanout Load Trans Delay Arrival Instance -# (fF) (ps) (ps) (ps) Location -#--------------------------------------------------------------------------------------- - cnt_reg[0]/CK - - R (arrival) 6 - 0 - 0 (-,-) - cnt_reg[0]/Q - CK->Q R DFFRHQX1 3 5.9 135 295 295 (-,-) - g347__1309/Y - B->Y R CLKAND2X3 4 5.8 56 155 449 (-,-) - g342__3772/Y - B0->Y F OAI21X1 1 2.0 165 138 587 (-,-) - g339__4296/Y - A1->Y R AOI22X1 1 2.0 159 193 780 (-,-) - g337__8780/Y - B0->Y F OAI31X1 1 1.9 172 207 987 (-,-) - cnt_reg[3]/D <<< - F DFFRHQX1 1 - - 0 987 (-,-) -#--------------------------------------------------------------------------------------- - diff --git a/implementation/syn/reports/compteur.timing_lint.rpt b/implementation/syn/reports/compteur.timing_lint.rpt deleted file mode 100644 index d62caf3..0000000 --- a/implementation/syn/reports/compteur.timing_lint.rpt +++ /dev/null @@ -1,48 +0,0 @@ -============================================================ - Generated by: Genus(TM) Synthesis Solution 18.10-p003_1 - Generated on: Oct 08 2019 12:54:52 pm - Module: compteur - Technology library: slow_vdd1v0 1.0 - Operating conditions: PVT_0P9V_125C - Interconnect mode: global - Area mode: timing library -============================================================ - -------------------------------------------------------------------------------- -Timing exceptions with no effect - -The following timing exceptions are not currently affecting timing in the -design. Either no paths in the design satisfy the exception's path -specification, or all paths that satisfy the path specification also satisfy an -exception with a higher priority. You can improve runtime and memory usage by -removing these exceptions if they are not truly needed. To see if there is a -path in the design that satisfies the path specification for an exception, or -to see what other exception is overriding an exception because of priority, use -the command: - report timing -paths [eval [::legacy::get_attribute paths <exception>]] - -exception:compteur/timing.sdc_line_28 -------------------------------------------------------------------------------- - - -Lint summary - Unconnected/logic driven clocks 0 - Sequential data pins driven by a clock signal 0 - Sequential clock pins without clock waveform 0 - Sequential clock pins with multiple clock waveforms 0 - Generated clocks without clock waveform 0 - Generated clocks with incompatible options 0 - Generated clocks with multi-master clock 0 - Paths constrained with different clocks 0 - Loop-breaking cells for combinational feedback 0 - Nets with multiple drivers 0 - Timing exceptions with no effect 1 - Suspicious multi_cycle exceptions 0 - Pins/ports with conflicting case constants 0 - Inputs without clocked external delays 0 - Outputs without clocked external delays 0 - Inputs without external driver/transition 0 - Outputs without external load 0 - Exceptions with invalid timing start-/endpoints 0 - - Total: 1 diff --git a/scripts/pnr.tcl b/scripts/pnr.tcl deleted file mode 100644 index 105a1b0..0000000 --- a/scripts/pnr.tcl +++ /dev/null @@ -1,220 +0,0 @@ -#----------------------------------------------------------------------------- -# Project Tutoriels - Conception de circuits intégrés numériques -#----------------------------------------------------------------------------- -# File pnr.tcl -# Author Mickael Fiorentino <mickael.fiorentino@polymtl.ca> -# Lab GRM - Polytechnique Montreal -# Date <2019-09-10 Tue> -#----------------------------------------------------------------------------- -# Brief Placement & Routage du mini-mips avec Innovus -# Usage cd implementation -# innovus -files ../scripts/syn.tcl -#----------------------------------------------------------------------------- -package require Tcl 8.5 - -if { ![info exist ::env(PROJECT_HOME)] } { - error "ERREUR: Configurer l'environnement (source setup.csh) avant d'utiliser ce script" -} - -#----------------------------------------------------------------------------- -# CONFIG -#----------------------------------------------------------------------------- -set DO_INIT 1 -set DO_FP 1 -set DO_POWER 1 -set DO_IO 1 -set DO_PLACE 1 -set DO_CTS 1 -set DO_ROUTE 1 -set DO_SAVE 1 - -set DESIGN compteur - -# Répertoires de destination -file mkdir $::env(PNR_REP_DIR) $::env(PNR_NET_DIR) -set OPT_DIR $::env(PNR_DIR)/opt -set TIM_DIR $::env(PNR_REP_DIR)/timing -set OA_DIR compteur_oa - -#----------------------------------------------------------------------------- -# INIT -#----------------------------------------------------------------------------- -if { $DO_INIT } { - - set init_oa_ref_lib [list gsclib045_tech gsclib045 giolib045] - set init_verilog $::env(SYN_NET_DIR)/${DESIGN}.syn.v - set init_design_settop 1 - set init_top_cell $DESIGN - set init_gnd_net VSS - set init_pwr_net VDD - set init_mmmc_file $::env(CONST_DIR)/mmmc.tcl - - init_design -} - -#----------------------------------------------------------------------------- -# FLOORPLAN -#----------------------------------------------------------------------------- -if { $DO_FP } { - - floorPlan -site CoreSite -r 0.9 0.6 1 1 1 1 -} - -#----------------------------------------------------------------------------- -# ALIMENTATIONS -#----------------------------------------------------------------------------- -if { $DO_POWER } { - - globalNetConnect VDD -type pgpin -pin VDD -inst * -override - globalNetConnect VSS -type pgpin -pin VSS -inst * -override - globalNetConnect VDD -type tiehi -inst * -override - globalNetConnect VSS -type tielo -inst * -override - - addStripe -nets VDD \ - -layer Metal1 \ - -direction vertical \ - -width 0.6 \ - -number_of_sets 1 \ - -start_from left \ - -start_offset -0.8 - - addStripe -nets VSS \ - -layer Metal1 \ - -direction vertical \ - -width 0.6 \ - -number_of_sets 1 \ - -start_from right \ - -start_offset -0.8 - - sroute -nets { VDD VSS } \ - -connect { corePin floatingStripe } \ - -layerChangeRange { Metal1(1) Metal1(1) } -} - -#----------------------------------------------------------------------------- -# I/O -#----------------------------------------------------------------------------- -if { $DO_IO } { - - set top_nets [list [get_nets -quiet "i_clk"] \ - [get_nets -quiet "i_rstn"] \ - [get_nets -quiet "i_en"]] - - set bottom_nets [list [get_nets -quiet "o_cnt[*]"]] - - set top_n [list ] - foreach nets $top_nets {foreach_in_collection n $nets {lappend top_n [get_object_name $n]}} - - set bottom_n [list ] - foreach nets $bottom_nets {foreach_in_collection n $nets {lappend bottom_n [get_object_name $n]}} - - setPinAssignMode -pinEditInBatch true - - editPin -pin "${top_n}" -side Top -layer 2 \ - -pinWidth 0.06 -pinDepth 0.335 \ - -spreadDirection clockwise -spreadType range \ - -start 2 9.12 -end 10 9.12 - - editPin -pin "${bottom_n}" -side Bottom -layer 2 \ - -pinWidth 0.08 -pinDepth 0.25 \ - -spreadDirection counterclockwise -spreadType range \ - -start 1 0 -end 11 0 -} - -#----------------------------------------------------------------------------- -# PLACEMENT -#----------------------------------------------------------------------------- -if { $DO_PLACE } { - - # Timing - timeDesign -prePlace -outDir ${TIM_DIR} - - # Placement - setPlaceMode -place_global_reorder_scan false - deleteAllScanCells - placeDesign - - # Netlist - saveNetlist $::env(PNR_NET_DIR)/${DESIGN}.place.v - write_sdf -version 2.1 -target_application verilog -interconn noport \ - $::env(PNR_NET_DIR)/${DESIGN}.place.sdf -} - -#----------------------------------------------------------------------------- -# ARBRE D'HORLOGE -#----------------------------------------------------------------------------- -if { $DO_CTS } { - - set_ccopt_property buffer_cells \ - [list CLKBUFX20 CLKBUFX16 CLKBUFX12 CLKBUFX8 CLKBUFX6 CLKBUFX4 CLKBUFX3 CLKBUFX2] - - set_ccopt_property inverter_cells \ - [list CLKINVX20 CLKINVX6 CLKINVX8 CLKINVX16 CLKINVX12 CLKINVX4 CLKINVX3 CLKINVX2 CLKINVX1] - - set_ccopt_property use_inverters true - - # Synthèse de l'arbre d'horloge - optDesign -preCTS -outDir ${OPT_DIR} - ccopt_design -cts -outDir ${OPT_DIR} - optDesign -postCTS -outDir ${OPT_DIR} - - # Timing - timeDesign -postCTS -outDir ${TIM_DIR} - - # Netlist - saveNetlist $::env(PNR_NET_DIR)/${DESIGN}.cts.v - write_sdf -version 2.1 -target_application verilog -interconn noport \ - $::env(PNR_NET_DIR)/${DESIGN}.cts.sdf -} - -#----------------------------------------------------------------------------- -# ROUTE -#----------------------------------------------------------------------------- -if { $DO_ROUTE } { - - # Ajout des filler cells - addFiller -cell FILL32 FILL16 FILL8 FILL4 FILL2 FILL1 -prefix FILLER - - # Routage timing- et signal-integrity- driven - setNanoRouteMode -routeWithTimingDriven true - setNanoRouteMode -routeWithSIDriven true - routeDesign -GlobalDetail - - # Extraction des capacités parasites - setExtractRCMode -engine postRoute - extractRC - - # Optimisations post-route - setAnalysisMode -analysisType onChipVariation - setAnalysisMode -cppr both - optDesign -postRoute -setup -hold -outDir ${OPT_DIR} - - # Verifications physiques - set_verify_drc_mode -report $::env(PNR_REP_DIR)/${DESIGN}.drc.rpt - verify_drc - verifyConnectivity -type all -error 1000 -warning 50 -report $::env(PNR_REP_DIR)/${DESIGN}.con.rpt - - # Timing - timeDesign -postRoute -outDir ${TIM_DIR} - report_timing > $::env(PNR_REP_DIR)/${DESIGN}.timing.rpt - - # Netlist - saveNetlist $::env(PNR_NET_DIR)/${DESIGN}.route.v - write_sdf -version 2.1 -target_application verilog -interconn noport \ - $::env(PNR_NET_DIR)/${DESIGN}.route.sdf -} - -#----------------------------------------------------------------------------- -# SAUVEGARDES -#----------------------------------------------------------------------------- -if { $DO_SAVE } { - - cd $::env(PNR_DIR) - - if {! [file isdirectory $OA_DIR] } { - createLib $OA_DIR -attachTech gsclib045_tech - } - saveDesign -cellview "$OA_DIR $DESIGN layout" - - cd ../ -} diff --git a/scripts/pwr.tcl b/scripts/pwr.tcl deleted file mode 100644 index 963db0f..0000000 --- a/scripts/pwr.tcl +++ /dev/null @@ -1,107 +0,0 @@ -#----------------------------------------------------------------------------- -# Project Tutoriels - Conception de circuits intégrés numériques -#----------------------------------------------------------------------------- -# File pwr.tcl -# Authors Mickael Fiorentino <mickael.fiorentino@polymtl.ca> -# Lab GRM - Polytechnique Montréal -# Date <2019-10-02 Wed> -#----------------------------------------------------------------------------- -# Brief Estimation du puissance du compteur BCD avec Voltus -# Usage source setup.csh && cd implementation/pnr -# voltus -files ../scripts/pwr.tcl -#----------------------------------------------------------------------------- -package require Tcl 8.5 - -if { ![info exist ::env(PROJECT_HOME)] } { - error "ERREUR: Configurer l'environnement (source setup.csh) avant d'utiliser ce script" -} - -#----------------------------------------------------------------------------- -# CONFIG -#----------------------------------------------------------------------------- -set DO_INIT 1 -set DO_STAT 1 -set DO_DYN 0 - -set DESIGN compteur -set OA compteur_oa -set DUT compteur_tb/dut -set PERIOD 1250ps -set RESOLUTION 100ps -set VCD_START 0ps -set VCD_END 54375ps - -#----------------------------------------------------------------------------- -# INIT -#----------------------------------------------------------------------------- -if { $DO_INIT } { - - cd $::env(PNR_DIR) - - # Unités par défaut - set_time_unit -picoseconds - - # Importation du layout - read_design -cellview "$OA $DESIGN layout" -physical_data - - # Mise à jour du timing à partir du mmmc - set_analysis_view -update_timing - - # Configuration - set_pg_library_mode -extraction_tech_file $::env(BE_QRC_LIB)/gpdk045.tch -celltype techonly \ - -power_pins {VDD 1.1} -ground_pins VSS \ - -decap_cells DECAP* -filler_cells FILL* \ - - generate_pg_library -output $::env(PNR_REP_DIR)/power - cd ../ -} - -#----------------------------------------------------------------------------- -# ANALYSE STATIQUE -#----------------------------------------------------------------------------- -if { $DO_STAT } { - - set rep ${DESIGN}.stat - - # Configuration statique - set_power_analysis_mode -reset - set_power_analysis_mode -method static \ - -corner max \ - -create_binary_db false \ - -write_static_currents false - - # Activité moyenne - set_default_switching_activity -reset - set_default_switching_activity -input_activity 0.2 -period $PERIOD -} - -#----------------------------------------------------------------------------- -# ANALYSE DYNAMIQUE -#----------------------------------------------------------------------------- -if { $DO_DYN } { - - set rep ${DESIGN}.dyn - - # Configuration dynamique - set_power_analysis_mode -reset - set_power_analysis_mode -method dynamic_vectorbased \ - -enable_rtl_vectorbased_dynamic_analysis true \ - -power_grid_library $::env(PNR_REP_DIR)/power/techonly.cl \ - -corner max \ - -report_stat true \ - -create_binary_db true \ - -write_static_currents true \ - -report_missing_flop_outputs false - - # Activité issue du fichier VCD - set_default_switching_activity -reset - read_activity_file -reset - read_activity_file -format VCD $::env(SIM_DIR)/pnr/${DESIGN}.vcd \ - -scope $DUT -start $VCD_START -end $VCD_END - - set_dynamic_power_simulation -resolution $RESOLUTION -} - -# Report power -report_power -format detailed -report_prefix $rep -output $::env(PNR_REP_DIR)/power -file copy -force $::env(PNR_REP_DIR)/power/${rep}.rpt $::env(PNR_REP_DIR)/${rep}.rpt diff --git a/scripts/sim.tcl b/scripts/sim.tcl deleted file mode 100644 index d98acba..0000000 --- a/scripts/sim.tcl +++ /dev/null @@ -1,91 +0,0 @@ -#----------------------------------------------------------------------------- -# Project : Tutoriels - Conception de circuits intégrés numériques -#----------------------------------------------------------------------------- -# File : sim.tcl -# Authors : Mickael Fiorentino <mickael.fiorentino@polymtl.ca> -# Lab : GRM - Polytechnique Montréal -# Date : <2019-07-24 Wed> -#----------------------------------------------------------------------------- -# Description: Script pour les simulations du compteur BCD avec Modelsim -# HOW-TO : source setup.csh && cd simulation/ -# : (CLI) > vsim -c -do ${SCRIPTS_DIR}/sim.tcl -# : (GUI) > vsim -do ${SCRIPTS_DIR}/sim.tcl -#----------------------------------------------------------------------------- -package require Tcl 8.5 - -if { ![info exist ::env(PROJECT_HOME)] } { - error "ERREUR: Configurer l'environnement (source setup.csh) avant d'utiliser ce script" -} - -#----------------------------------------------------------------------------- -# CONFIG -#----------------------------------------------------------------------------- -set DO_BEH 0; # Modèle comportemental -set DO_SYN 0; # Netlist post-synthèse -set DO_PNR 1; # Netlist post-placement-routage -set DO_SIM 1; # 0=Compilation; 1=Compilation + Simulation -set DO_VCD 1; # Sauvegarde de l'activité au format VCD - -set top compteur -set tb compteur_tb -set dut dut -set work work -set lib gpdk45 - -if { $DO_BEH } { set workD $::env(SIM_DIR)/beh } -if { $DO_SYN } { set workD $::env(SIM_DIR)/syn } -if { $DO_PNR } { set workD $::env(SIM_DIR)/pnr } - -set log ${workD}/${top}.log -set wlf ${workD}/${top}.wlf -set vcd ${workD}/${top}.vcd -set wave ${workD}/${top}.wave.do - -if { $DO_SYN } { set net $::env(SYN_NET_DIR)/${top}.syn } -if { $DO_PNR } { set net $::env(PNR_NET_DIR)/${top}.route } - -#----------------------------------------------------------------------------- -# COMPILATION -#----------------------------------------------------------------------------- - -# Création du répertoire de travail -if { ![file exist $workD] } { - file mkdir $workD -} - -# Mise à jour du modelsim.ini avec les librairies GPDK45 -if { [file exist "./modelsim.ini"] } { - file delete "./modelsim.ini" -} -vmap -c -vmap $lib $::env(KIT_SIMLIB)/gsclib045_slow - -# Work -if { [file exist ${workD}/${work}] } { - vdel -all -lib ${workD}/${work} -} -vlib ${workD}/${work} -vmap ${work} ${workD}/${work} - -# Model -if { $DO_BEH } { - vcom -2008 -work $work $::env(SRC_DIR)/${top}.vhd - vcom -2008 -work $work $::env(SRC_DIR)/${tb}.vhd - -# Netlist -} elseif { $DO_SYN || $DO_PNR } { - vlog -work $work ${net}.v - vcom -2008 -work $work $::env(SRC_DIR)/${tb}.vhd -} - -#----------------------------------------------------------------------------- -# SIMULATION -#----------------------------------------------------------------------------- - -if { $DO_SIM && $DO_BEH } { - vsim -c -t ps -voptargs=+acc -do run.do -logfile $log -wlf $wlf ${work}.${tb} -} - -if { $DO_SIM && ($DO_SYN || $DO_PNR) } { - vsim -c -t ps -voptargs=+acc -do run.do -logfile $log -wlf $wlf -L $lib -sdfmax ${dut}=${net}.sdf ${work}.${tb} -} diff --git a/scripts/syn.tcl b/scripts/syn.tcl deleted file mode 100644 index 1989c51..0000000 --- a/scripts/syn.tcl +++ /dev/null @@ -1,142 +0,0 @@ -#----------------------------------------------------------------------------- -# Project Tutoriels - Conception de circuits intégrés numériques -#----------------------------------------------------------------------------- -# File syn.tcl -# Author Mickael Fiorentino <mickael.fiorentino@polymtl.ca> -# Lab GRM - Polytechnique Montreal -# Date <2019-10-01 Tue> -#----------------------------------------------------------------------------- -# Brief Synthèse du compteur avec Genus -# Usage cd implementation -# genus -files ../scripts/syn.tcl -#----------------------------------------------------------------------------- -package require Tcl 8.5 - -if { ![info exist ::env(PROJECT_HOME)] } { - error "ERREUR: Configurer l'environnement (source setup.csh) avant d'utiliser ce script" -} - -#----------------------------------------------------------------------------- -# CONFIG -#----------------------------------------------------------------------------- -set DO_INIT 1 -set DO_ELAB 1 -set DO_SDC 1 -set DO_SYN 1 -set DO_REPORTS 1 - -set DESIGN compteur; # Top-level -set SYN_EFFORT high; # low | medium | high | express - -# Create directories if they do not exists -file mkdir $::env(SYN_REP_DIR) $::env(SYN_NET_DIR) - -#----------------------------------------------------------------------------- -# CONFIG -#----------------------------------------------------------------------------- -set DO_INIT 1 -set DO_ELAB 1 -set DO_SDC 1 -set DO_SYN 1 - -set DESIGN compteur; # Top-level -set SYN_EFFORT high; # low | medium | high | express - -# Création des répertoires de destination -file mkdir $::env(SYN_REP_DIR) $::env(SYN_NET_DIR) - -#----------------------------------------------------------------------------- -# SAVE -#----------------------------------------------------------------------------- -proc save { basename } { - report_timing > $::env(SYN_REP_DIR)/${basename}.timing.rpt - report_area > $::env(SYN_REP_DIR)/${basename}.area.rpt - report_gates > $::env(SYN_REP_DIR)/${basename}.gates.rpt - report_power > $::env(SYN_REP_DIR)/${basename}.power.rpt -} - -#----------------------------------------------------------------------------- -# INIT -#----------------------------------------------------------------------------- -if { $DO_INIT } { - - # Paramètres - set_db information_level 11; # Verbosité: 1-11 - set_db max_cpus_per_server 8; # Numbre max de thread utilisable - set_db hdl_vhdl_read_version 2008; # Standard VHDL - set_db hdl_error_on_blackbox true; # Éviter les black-box - set_db hdl_error_on_latch true; # Éviter les latch - - set_db init_hdl_search_path [list $::env(SRC_DIR)] - set_db init_lib_search_path [list $::env(FE_TIM_LIB) \ - $::env(BE_LEF_LIB) \ - $::env(BE_QRC_LIB)] - - # Librairies de timing: max=setup, min=hold - read_libs -max_libs slow_vdd1v0_basicCells.lib -min_libs fast_vdd1v0_basicCells.lib - - # Libraries physiques: stdcells + interconnect - read_physical -lef gsclib045_tech.lef - read_qrc gpdk045.tch - set_db interconnect_mode ple; # wireload | ple (Physical Layout Estimators) -} - -#----------------------------------------------------------------------------- -# ELABORATION -#----------------------------------------------------------------------------- -if { $DO_ELAB } { - - # Compilation - read_hdl -vhdl [list compteur.vhd] - - # Conserver les compteurs de performance - set_db hdl_preserve_unused_flop true - - # Élaboration - elaborate $DESIGN - - # Rapports - check_design -all > $::env(SYN_REP_DIR)/${DESIGN}.check.rpt - report_module -depth 3 > $::env(SYN_REP_DIR)/${DESIGN}.hier_elab.rpt - write_hdl > $::env(SYN_NET_DIR)/${DESIGN}.elab.v -} - -#----------------------------------------------------------------------------- -# CONTRAINTES -#----------------------------------------------------------------------------- -if { $DO_SDC } { - - # Contraintes de timing - read_sdc $::env(CONST_DIR)/timing.sdc - - # Rapports - report_timing -lint -verbose > $::env(SYN_REP_DIR)/${DESIGN}.timing_lint.rpt - report_clocks > $::env(SYN_REP_DIR)/${DESIGN}.clk.rpt - report_clocks -generated >> $::env(SYN_REP_DIR)/${DESIGN}.clk.rpt -} - -#----------------------------------------------------------------------------- -# SYNTHÈSE -#----------------------------------------------------------------------------- -if { $DO_SYN } { - - # Synthèse générique - set_db syn_generic_effort $SYN_EFFORT - syn_generic ${DESIGN} - save ${DESIGN}.gen - - # Map - set_db syn_map_effort $SYN_EFFORT - syn_map ${DESIGN} - save ${DESIGN}.map - - # Optimisations - set_db syn_opt_effort $SYN_EFFORT - syn_opt ${DESIGN} - save ${DESIGN}.syn - - # Netlist - write_sdc > $::env(CONST_DIR)/${DESIGN}.syn.sdc - write_hdl > $::env(SYN_NET_DIR)/${DESIGN}.syn.v - write_sdf -nonegchecks -setuphold split -version 2.1 > $::env(SYN_NET_DIR)/${DESIGN}.syn.sdf -} diff --git a/simulation/run.do b/simulation/run.do deleted file mode 100644 index 3979db8..0000000 --- a/simulation/run.do +++ /dev/null @@ -1,23 +0,0 @@ -global DO_VCD -global tb -global dut -global vcd - -set StdArithNoWarnings 1 -set NumericStdNoWarnings 1 - -onerror { break } -onbreak { - if { $DO_VCD } { vcd flush } - quit -f -} - -log * -r -add wave -r /* - -if { $DO_VCD } { - vcd file $vcd - vcd add -r /${tb}/${dut}/* -} - -run -all -- GitLab