diff --git a/constraints/timing.sdc b/constraints/timing.sdc
index 74c542e4cf5039a56aa6007a8e7787efa01398f9..0645a1d3cf11ddfe1e883e2080288fe166bd6612 100644
--- a/constraints/timing.sdc
+++ b/constraints/timing.sdc
@@ -13,8 +13,8 @@
 set_time_unit -picoseconds
 set_load_unit -femtofarads
 
-# Point de fonctionnement
-set_operating_conditions -max_library PVT_1P1V_0C -min_library PVT_0P9V_125C; # 1.1V, OC ; 0.9V, 125C
+# Point de fonctionnement ( 1.1V, OC ; 0.9V, 125C )
+set_operating_conditions -max_library PVT_1P1V_0C -min_library PVT_0P9V_125C
 
 # Horloge principale
 set clk "clk"
@@ -26,7 +26,6 @@ set_db [get_clocks $clk] .clock_hold_uncertainty  30
 
 # Reset
 set_false_path -from [get_ports i_rstn]
-set_ideal_network [get_nets rstn_sync\[1\]]
 
 # Entrées
 set_input_delay 200 -clock [get_clocks $clk] [all_inputs]
diff --git a/setup.csh b/setup.csh
index 7ec39818fecfda7a11446dbf065083f8ac221360..7c04d7d397f5d185f825ac65d2015cf1312aab4b 100644
--- a/setup.csh
+++ b/setup.csh
@@ -5,7 +5,7 @@
 # File     setup.csh
 # Authors  Mickael Fiorentino <mickael.fiorentino@polymtl.ca>
 # Lab      GRM - Polytechnique Montréal
-# Date     <2019-10-01 Tue>
+# Date     <2020-07-23>
 #-----------------------------------------------------------------------------
 # Brief    Script de configuration de l'environnement
 #          - Environnement CMC
@@ -37,18 +37,32 @@ source ${CMC_CONFIG}
 #-----------------------------------------------------------------------------
 # HIERARCHIE DU PROJET
 #-----------------------------------------------------------------------------
-setenv SRC_DIR      ${PROJECT_HOME}/sources
-setenv CONST_DIR    ${PROJECT_HOME}/constraints
-setenv SCRIPTS_DIR  ${PROJECT_HOME}/scripts
-setenv SIM_DIR      ${PROJECT_HOME}/simulation
-setenv IMP_DIR      ${PROJECT_HOME}/implementation
-setenv SYN_DIR      ${IMP_DIR}/syn
-setenv SYN_NET_DIR  ${SYN_DIR}/netlist
-setenv SYN_REP_DIR  ${SYN_DIR}/reports
-setenv SYN_LOG_DIR  ${SYN_DIR}/logs
-setenv PNR_DIR      ${IMP_DIR}/pnr
-setenv PNR_NET_DIR  ${PNR_DIR}/netlist
-setenv PNR_REP_DIR  ${PNR_DIR}/reports
+setenv SRC_DIR          ${PROJECT_HOME}/sources
+setenv CONST_DIR        ${PROJECT_HOME}/constraints
+setenv SCRIPTS_DIR      ${PROJECT_HOME}/scripts
+setenv SIM_DIR          ${PROJECT_HOME}/simulation
+setenv IMP_DIR          ${PROJECT_HOME}/implementation
+setenv SYN_DIR          ${IMP_DIR}/syn
+setenv SYN_NET_DIR      ${SYN_DIR}/base_netlist
+setenv SYN_REP_DIR      ${SYN_DIR}/base_reports
+setenv SYN_LOG_DIR      ${SYN_DIR}/base_logs
+setenv SYN_CG_NET_DIR   ${SYN_DIR}/cg_netlist
+setenv SYN_CG_REP_DIR   ${SYN_DIR}/cg_reports
+setenv SYN_CG_LOG_DIR   ${SYN_DIR}/cg_logs
+setenv SYN_DFT_NET_DIR  ${SYN_DIR}/dft_netlist
+setenv SYN_DFT_REP_DIR  ${SYN_DIR}/dft_reports
+setenv SYN_DFT_LOG_DIR  ${SYN_DIR}/dft_logs
+setenv ATPG_DIR         ${IMP_DIR}/atpg
+setenv PNR_DIR          ${IMP_DIR}/pnr
+setenv PNR_NET_DIR      ${PNR_DIR}/base_netlist
+setenv PNR_REP_DIR      ${PNR_DIR}/base_reports
+setenv PNR_LOG_DIR      ${PNR_DIR}/base_logs
+setenv PNR_CG_NET_DIR   ${PNR_DIR}/cg_netlist
+setenv PNR_CG_REP_DIR   ${PNR_DIR}/cg_reports
+setenv PNR_CG_LOG_DIR   ${PNR_DIR}/cg_logs
+setenv PNR_DFT_NET_DIR  ${PNR_DIR}/dft_netlist
+setenv PNR_DFT_REP_DIR  ${PNR_DIR}/dft_reports
+setenv PNR_DFT_LOG_DIR  ${PNR_DIR}/dft_logs
 
 #-----------------------------------------------------------------------------
 # CONFIGURATION DU KIT GPDK045
@@ -102,6 +116,15 @@ source ${CMC_HOME}/scripts/cadence.genus18.10.000.csh
 alias genus "genus -overwrite"
 alias genus_help "${CMC_CDS_GENUS_HOME}/bin/cdnshelp"
 
+# MODUS
+source ${CMC_HOME}/scripts/cadence.modus19.12.000.csh
+alias modus "modus -overwrite"
+alias modus_help "${CMC_CDS_MODUS_HOME}/bin/cdnshelp"
+
+# XCELIUM
+source ${CMC_HOME}/scripts/cadence.xceliummain19.03.011.csh
+alias xcelium_help "${CMC_CDS_XCELIUM_HOME}/bin/cdnshelp"
+
 # INNOVUS
 source ${CMC_HOME}/scripts/cadence.innovus18.10.000.csh
 alias innovus "innovus -overwrite -no_logv"