From 7664c10bd97938bf88481d48427c30356635d144 Mon Sep 17 00:00:00 2001
From: "mickael@pcys" <mickael.fiorentino@polymtl.ca>
Date: Tue, 8 Oct 2019 13:13:12 -0400
Subject: [PATCH] =?UTF-8?q?Mise=20=C3=A0=20jour=20du=20flot=20=C3=A0=20par?=
 =?UTF-8?q?tir=20du=20flot=20mini-mips=20/=20mini-riscv?=
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: 8bit

---
 compteur/constraints/compteur.syn.sdc         |    2 +-
 compteur/implementation/.gitignore            |    4 +-
 compteur/implementation/.powerAnalysis.pinfo  |    8 -
 compteur/implementation/cds.lib               |    1 -
 compteur/implementation/pnr/cds.lib           |    2 +
 .../pnr/netlist/compteur.cts.sdf              |    2 +-
 .../implementation/pnr/netlist/compteur.cts.v |    4 +-
 .../pnr/netlist/compteur.place.sdf            |    2 +-
 .../pnr/netlist/compteur.place.v              |    4 +-
 .../pnr/netlist/compteur.route.sdf            |    2 +-
 .../pnr/netlist/compteur.route.v              |    4 +-
 .../pnr/reports/compteur.con.rpt              |    4 +-
 .../pnr/reports/compteur.drc.rpt              |    2 +-
 .../pnr/reports/compteur.dyn.rpt              |   18 +-
 .../pnr/reports/compteur.stat.rpt             |    2 +-
 .../pnr/reports/compteur.timing.rpt           |    2 +-
 .../reports/timing/compteur_postCTS.cap.gz    |  Bin 0 -> 448 bytes
 .../reports/timing/compteur_postCTS.fanout.gz |  Bin 0 -> 325 bytes
 .../reports/timing/compteur_postCTS.length.gz |  Bin 0 -> 240 bytes
 .../timing/compteur_postCTS.summary.gz        |  Bin 0 -> 549 bytes
 .../reports/timing/compteur_postCTS.tran.gz   |  Bin 0 -> 497 bytes
 .../timing/compteur_postCTS_all.tarpt.gz      |  Bin 0 -> 4537 bytes
 .../timing/compteur_postCTS_default.tarpt.gz  |  Bin 0 -> 2775 bytes
 .../timing/compteur_postCTS_reg2reg.tarpt.gz  |  Bin 0 -> 3721 bytes
 .../compteur_postRoute.SI_Glitches.rpt.gz     |  Bin 0 -> 90 bytes
 .../reports/timing/compteur_postRoute.cap.gz  |  Bin 0 -> 450 bytes
 .../timing/compteur_postRoute.fanout.gz       |  Bin 0 -> 326 bytes
 .../timing/compteur_postRoute.length.gz       |  Bin 0 -> 241 bytes
 .../timing/compteur_postRoute.summary.gz      |  Bin 0 -> 566 bytes
 .../reports/timing/compteur_postRoute.tran.gz |  Bin 0 -> 499 bytes
 .../timing/compteur_postRoute_all.tarpt.gz    |  Bin 0 -> 4711 bytes
 .../compteur_postRoute_default.tarpt.gz       |  Bin 0 -> 2806 bytes
 .../compteur_postRoute_reg2reg.tarpt.gz       |  Bin 0 -> 3892 bytes
 .../timing/compteur_prePlace.summary.gz       |  Bin 0 -> 395 bytes
 .../timing/compteur_prePlace_all.tarpt.gz     |  Bin 0 -> 3932 bytes
 .../timing/compteur_prePlace_default.tarpt.gz |  Bin 0 -> 2523 bytes
 .../timing/compteur_prePlace_reg2reg.tarpt.gz |  Bin 0 -> 3220 bytes
 .../pnr/timing/compteur_postCTS.cap.gz        |  Bin 447 -> 0 bytes
 .../pnr/timing/compteur_postCTS.fanout.gz     |  Bin 326 -> 0 bytes
 .../pnr/timing/compteur_postCTS.length.gz     |  Bin 241 -> 0 bytes
 .../pnr/timing/compteur_postCTS.summary.gz    |  Bin 548 -> 0 bytes
 .../pnr/timing/compteur_postCTS.tran.gz       |  Bin 497 -> 0 bytes
 .../pnr/timing/compteur_postCTS_all.tarpt.gz  |  Bin 4535 -> 0 bytes
 .../timing/compteur_postCTS_default.tarpt.gz  |  Bin 2775 -> 0 bytes
 .../timing/compteur_postCTS_reg2reg.tarpt.gz  |  Bin 3720 -> 0 bytes
 .../compteur_postRoute.SI_Glitches.rpt.gz     |  Bin 90 -> 0 bytes
 .../pnr/timing/compteur_postRoute.cap.gz      |  Bin 449 -> 0 bytes
 .../pnr/timing/compteur_postRoute.fanout.gz   |  Bin 327 -> 0 bytes
 .../pnr/timing/compteur_postRoute.length.gz   |  Bin 243 -> 0 bytes
 .../pnr/timing/compteur_postRoute.summary.gz  |  Bin 566 -> 0 bytes
 .../pnr/timing/compteur_postRoute.tran.gz     |  Bin 499 -> 0 bytes
 .../timing/compteur_postRoute_all.tarpt.gz    |  Bin 4711 -> 0 bytes
 .../compteur_postRoute_default.tarpt.gz       |  Bin 2808 -> 0 bytes
 .../compteur_postRoute_reg2reg.tarpt.gz       |  Bin 3891 -> 0 bytes
 .../pnr/timing/compteur_prePlace.summary.gz   |  Bin 393 -> 0 bytes
 .../pnr/timing/compteur_prePlace_all.tarpt.gz |  Bin 3931 -> 0 bytes
 .../timing/compteur_prePlace_default.tarpt.gz |  Bin 2518 -> 0 bytes
 .../timing/compteur_prePlace_reg2reg.tarpt.gz |  Bin 3219 -> 0 bytes
 .../syn/netlist/compteur.elab.v               |    2 +-
 .../syn/netlist/compteur.syn.sdf              |    2 +-
 .../implementation/syn/netlist/compteur.syn.v |    2 +-
 .../syn/reports/compteur.clk.rpt              |    4 +-
 .../syn/reports/compteur.gen.area.rpt         |    2 +-
 .../syn/reports/compteur.gen.gates.rpt        |    2 +-
 .../syn/reports/compteur.gen.power.rpt        |    2 +-
 .../syn/reports/compteur.gen.timing.rpt       |    2 +-
 .../syn/reports/compteur.hier_elab.rpt        |    2 +-
 .../syn/reports/compteur.map.area.rpt         |    2 +-
 .../syn/reports/compteur.map.gates.rpt        |    2 +-
 .../syn/reports/compteur.map.power.rpt        |    2 +-
 .../syn/reports/compteur.map.timing.rpt       |    2 +-
 .../syn/reports/compteur.syn.area.rpt         |    2 +-
 .../syn/reports/compteur.syn.gates.rpt        |    2 +-
 .../syn/reports/compteur.syn.power.rpt        |    2 +-
 .../syn/reports/compteur.syn.timing.rpt       |    2 +-
 .../syn/reports/compteur.timing_lint.rpt      |    2 +-
 compteur/scripts/clean.csh                    |   41 +
 compteur/scripts/pnr.tcl                      |   13 +-
 compteur/scripts/pwr.tcl                      |   23 +-
 compteur/scripts/sim.tcl                      |    9 +-
 compteur/setup.csh                            |    6 +-
 compteur/simulation/.gitignore                |   10 +-
 compteur/simulation/modelsim.ini              | 2127 -----------------
 compteur/simulation/run.do                    |   17 +-
 84 files changed, 137 insertions(+), 2210 deletions(-)
 delete mode 100644 compteur/implementation/.powerAnalysis.pinfo
 create mode 100644 compteur/implementation/pnr/cds.lib
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS.cap.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS.fanout.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS.length.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS.summary.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS.tran.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.cap.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.fanout.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.length.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.summary.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute.tran.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_prePlace.summary.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz
 create mode 100644 compteur/implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS.cap.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS.fanout.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS.length.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS.summary.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS.tran.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS_all.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS_default.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postCTS_reg2reg.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.SI_Glitches.rpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.cap.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.fanout.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.length.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.summary.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute.tran.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute_all.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute_default.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_postRoute_reg2reg.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_prePlace.summary.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_prePlace_all.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_prePlace_default.tarpt.gz
 delete mode 100644 compteur/implementation/pnr/timing/compteur_prePlace_reg2reg.tarpt.gz
 create mode 100755 compteur/scripts/clean.csh
 delete mode 100644 compteur/simulation/modelsim.ini

diff --git a/compteur/constraints/compteur.syn.sdc b/compteur/constraints/compteur.syn.sdc
index e285f8a..a6ccf1e 100644
--- a/compteur/constraints/compteur.syn.sdc
+++ b/compteur/constraints/compteur.syn.sdc
@@ -1,6 +1,6 @@
 # ####################################################################
 
-#  Created by Genus(TM) Synthesis Solution 18.10-p003_1 on Wed Oct 02 14:33:08 EDT 2019
+#  Created by Genus(TM) Synthesis Solution 18.10-p003_1 on Tue Oct 08 12:54:55 EDT 2019
 
 # ####################################################################
 
diff --git a/compteur/implementation/.gitignore b/compteur/implementation/.gitignore
index b419351..9e0db47 100644
--- a/compteur/implementation/.gitignore
+++ b/compteur/implementation/.gitignore
@@ -1,7 +1,7 @@
 *.cmd
 *.log
 .lec*
-liboa/
+*_oa/
 timingReports/
 .cadence/
 fv/
@@ -14,3 +14,5 @@ rc_model.bin
 opt/
 power/
 voltus_*
+*.cmdlog
+*.sdc1
diff --git a/compteur/implementation/.powerAnalysis.pinfo b/compteur/implementation/.powerAnalysis.pinfo
deleted file mode 100644
index 9c69656..0000000
--- a/compteur/implementation/.powerAnalysis.pinfo
+++ /dev/null
@@ -1,8 +0,0 @@
-
-Hostname pcys41
-
-Wall clock 7.436569
-
-CPU time 6.426093
-
-Peak memory (process): 535609344
diff --git a/compteur/implementation/cds.lib b/compteur/implementation/cds.lib
index b489764..df6d458 100644
--- a/compteur/implementation/cds.lib
+++ b/compteur/implementation/cds.lib
@@ -12,4 +12,3 @@ DEFINE gpdk045 ${KIT_GPDK}/gpdk045
 DEFINE gsclib045_tech ${KIT_SCLIB}/gsclib045_tech/oa22/gsclib045_tech
 DEFINE gsclib045 ${KIT_SCLIB}/gsclib045/oa22/gsclib045
 DEFINE giolib045 ${KIT_IOLIB}/giolib045/oa22/giolib045
-DEFINE liboa liboa
diff --git a/compteur/implementation/pnr/cds.lib b/compteur/implementation/pnr/cds.lib
new file mode 100644
index 0000000..8a32172
--- /dev/null
+++ b/compteur/implementation/pnr/cds.lib
@@ -0,0 +1,2 @@
+INCLUDE /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/cds.lib
+DEFINE compteur_oa compteur_oa
diff --git a/compteur/implementation/pnr/netlist/compteur.cts.sdf b/compteur/implementation/pnr/netlist/compteur.cts.sdf
index 0167047..054dbd1 100644
--- a/compteur/implementation/pnr/netlist/compteur.cts.sdf
+++ b/compteur/implementation/pnr/netlist/compteur.cts.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
   (SDFVERSION "2.1")
   (DESIGN "compteur")
-  (DATE "Wed Oct  2 14:38:45 2019")
+  (DATE "Tue Oct  8 12:57:34 2019")
   (VENDOR "Cadence Design Systems, Inc.")
   (PROGRAM "Innovus")
   (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))")
diff --git a/compteur/implementation/pnr/netlist/compteur.cts.v b/compteur/implementation/pnr/netlist/compteur.cts.v
index ad4d606..83d0759 100644
--- a/compteur/implementation/pnr/netlist/compteur.cts.v
+++ b/compteur/implementation/pnr/netlist/compteur.cts.v
@@ -2,13 +2,13 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:38:44 2019
+#  Generated on:      Tue Oct  8 12:57:34 2019
 #  Design:            compteur
 #  Command:           saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.cts.v
 ###############################################################
 */
 // Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1
-// Generated on: Oct  2 2019 14:33:08 EDT (Oct  2 2019 18:33:08 UTC)
+// Generated on: Oct  8 2019 12:54:55 EDT (Oct  8 2019 16:54:55 UTC)
 // Verification Directory fv/compteur 
 module compteur (
 	i_clk, 
diff --git a/compteur/implementation/pnr/netlist/compteur.place.sdf b/compteur/implementation/pnr/netlist/compteur.place.sdf
index e01e751..fb73c3d 100644
--- a/compteur/implementation/pnr/netlist/compteur.place.sdf
+++ b/compteur/implementation/pnr/netlist/compteur.place.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
   (SDFVERSION "2.1")
   (DESIGN "compteur")
-  (DATE "Wed Oct  2 14:38:24 2019")
+  (DATE "Tue Oct  8 12:57:15 2019")
   (VENDOR "Cadence Design Systems, Inc.")
   (PROGRAM "Innovus")
   (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))")
diff --git a/compteur/implementation/pnr/netlist/compteur.place.v b/compteur/implementation/pnr/netlist/compteur.place.v
index a098964..ff5eeeb 100644
--- a/compteur/implementation/pnr/netlist/compteur.place.v
+++ b/compteur/implementation/pnr/netlist/compteur.place.v
@@ -2,13 +2,13 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:38:24 2019
+#  Generated on:      Tue Oct  8 12:57:15 2019
 #  Design:            compteur
 #  Command:           saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.place.v
 ###############################################################
 */
 // Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1
-// Generated on: Oct  2 2019 14:33:08 EDT (Oct  2 2019 18:33:08 UTC)
+// Generated on: Oct  8 2019 12:54:55 EDT (Oct  8 2019 16:54:55 UTC)
 // Verification Directory fv/compteur 
 module compteur (
 	i_clk, 
diff --git a/compteur/implementation/pnr/netlist/compteur.route.sdf b/compteur/implementation/pnr/netlist/compteur.route.sdf
index 520704f..999119a 100644
--- a/compteur/implementation/pnr/netlist/compteur.route.sdf
+++ b/compteur/implementation/pnr/netlist/compteur.route.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
   (SDFVERSION "2.1")
   (DESIGN "compteur")
-  (DATE "Wed Oct  2 14:39:39 2019")
+  (DATE "Tue Oct  8 12:58:27 2019")
   (VENDOR "Cadence Design Systems, Inc.")
   (PROGRAM "Innovus")
   (VERSION "v18.10-p002_1 ((64bit) 05/29/2018 19:19 (Linux 2.6.18-194.el5))")
diff --git a/compteur/implementation/pnr/netlist/compteur.route.v b/compteur/implementation/pnr/netlist/compteur.route.v
index e385e11..a847397 100644
--- a/compteur/implementation/pnr/netlist/compteur.route.v
+++ b/compteur/implementation/pnr/netlist/compteur.route.v
@@ -2,13 +2,13 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:39:38 2019
+#  Generated on:      Tue Oct  8 12:58:26 2019
 #  Design:            compteur
 #  Command:           saveNetlist /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/netlist/compteur.route.v
 ###############################################################
 */
 // Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1
-// Generated on: Oct  2 2019 14:33:08 EDT (Oct  2 2019 18:33:08 UTC)
+// Generated on: Oct  8 2019 12:54:55 EDT (Oct  8 2019 16:54:55 UTC)
 // Verification Directory fv/compteur 
 module compteur (
 	i_clk, 
diff --git a/compteur/implementation/pnr/reports/compteur.con.rpt b/compteur/implementation/pnr/reports/compteur.con.rpt
index 89ce38b..94a6f07 100644
--- a/compteur/implementation/pnr/reports/compteur.con.rpt
+++ b/compteur/implementation/pnr/reports/compteur.con.rpt
@@ -1,11 +1,11 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:39:37 2019
+#  Generated on:      Tue Oct  8 12:58:26 2019
 #  Design:            compteur
 #  Command:           verifyConnectivity -type all -error 1000 -warning 50 -report /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/implementation/pnr/reports/compteur.con.rpt
 ###############################################################
-Verify Connectivity Report is created on Wed Oct  2 14:39:37 2019
+Verify Connectivity Report is created on Tue Oct  8 12:58:26 2019
 
 
 
diff --git a/compteur/implementation/pnr/reports/compteur.drc.rpt b/compteur/implementation/pnr/reports/compteur.drc.rpt
index 6fad5b1..90d4955 100644
--- a/compteur/implementation/pnr/reports/compteur.drc.rpt
+++ b/compteur/implementation/pnr/reports/compteur.drc.rpt
@@ -1,7 +1,7 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:39:37 2019
+#  Generated on:      Tue Oct  8 12:58:26 2019
 #  Design:            compteur
 #  Command:           verify_drc
 ###############################################################
diff --git a/compteur/implementation/pnr/reports/compteur.dyn.rpt b/compteur/implementation/pnr/reports/compteur.dyn.rpt
index 479a136..72e06bc 100644
--- a/compteur/implementation/pnr/reports/compteur.dyn.rpt
+++ b/compteur/implementation/pnr/reports/compteur.dyn.rpt
@@ -2,7 +2,7 @@
 *	Voltus Power Analysis - Power Calculator - Version v16.16-s020_1 64-bit (05/03/2017 02:27:51)
 *	Copyright 2007, Cadence Design Systems, Inc.
 *
-* 	Date & Time:	2019-Oct-02 15:11:52 (2019-Oct-02 19:11:52 GMT)
+* 	Date & Time:	2019-Oct-08 13:02:14 (2019-Oct-08 17:02:14 GMT)
 *
 *----------------------------------------------------------------------------------------
 *
@@ -15,11 +15,11 @@
 *		Rail:        VDD 	Voltage:        0.9 
 *
 *	DEF Files used: 
-*	        /tmp/ssv_tmpdir_24667_PxDrwd/eps_out_24667.def.gz
+*	        /tmp/ssv_tmpdir_26627_p5AEVU/eps_out_26627.def.gz
 *
 *	Switching Activity File used: 
 *	        /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/simulation/pnr/compteur.vcd
-*                    Vcd Window used(Start Time, Stop Time):  (0,54375)  
+*                    Vcd Window used(Start Time, Stop Time):  (0,54.375)  
 *                     Vcd Scale Factor: 1 
 * *                    Design annotation coverage: 27/27 = 100% 
 *
@@ -46,24 +46,24 @@
 
 Total Power 
 -----------------------------------------------------------------------------------------
-Total Internal Power:        0.02794941 	   12.0624%
-Total Switching Power:       0.20375353 	   87.9360%
+Total Internal Power:        0.02796512 	   12.0684%
+Total Switching Power:       0.20375353 	   87.9300%
 Total Leakage Power:         0.00000367 	    0.0016%
-Total Power:                 0.23170661 
+Total Power:                 0.23172233 
 -----------------------------------------------------------------------------------------
 
 
 Group                           Internal   Switching     Leakage       Total  Percentage 
                                 Power      Power         Power         Power  (%)        
 -----------------------------------------------------------------------------------------
-Sequential                       0.01723    0.001124   8.766e-07     0.01835       7.921 
+Sequential                       0.01725    0.001124   8.766e-07     0.01837       7.928 
 Macro                                  0           0           0           0           0 
 IO                                     0           0           0           0           0 
-Combinational                    0.01072      0.2026   2.793e-06      0.2134       92.08 
+Combinational                    0.01072      0.2026   2.793e-06      0.2134       92.07 
 Clock (Combinational)                  0           0           0           0           0 
 Clock (Sequential)                     0           0           0           0           0 
 -----------------------------------------------------------------------------------------
-Total                            0.02795      0.2038   3.669e-06      0.2317         100 
+Total                            0.02797      0.2038   3.669e-06      0.2317         100 
 -----------------------------------------------------------------------------------------
 
 
diff --git a/compteur/implementation/pnr/reports/compteur.stat.rpt b/compteur/implementation/pnr/reports/compteur.stat.rpt
index a3cee45..29e4426 100644
--- a/compteur/implementation/pnr/reports/compteur.stat.rpt
+++ b/compteur/implementation/pnr/reports/compteur.stat.rpt
@@ -2,7 +2,7 @@
 *	Voltus IC Power Integrity Solution 16.16-s051_1 (64bit) 05/16/2017 12:06 (Linux 2.6.18-194.el5)
 *	
 *
-* 	Date & Time:	2019-Oct-02 15:09:34 (2019-Oct-02 19:09:34 GMT)
+* 	Date & Time:	2019-Oct-08 13:03:48 (2019-Oct-08 17:03:48 GMT)
 *
 *----------------------------------------------------------------------------------------
 *
diff --git a/compteur/implementation/pnr/reports/compteur.timing.rpt b/compteur/implementation/pnr/reports/compteur.timing.rpt
index d87d08a..a05c584 100644
--- a/compteur/implementation/pnr/reports/compteur.timing.rpt
+++ b/compteur/implementation/pnr/reports/compteur.timing.rpt
@@ -1,7 +1,7 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 18.10-p002_1
 #  OS:                Linux x86_64(Host ID pcys41)
-#  Generated on:      Wed Oct  2 14:39:38 2019
+#  Generated on:      Tue Oct  8 12:58:26 2019
 #  Design:            compteur
 #  Command:           report_timing > $::env(PNR_REP_DIR)/${DESIGN}.timing.rpt
 ###############################################################
diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS.cap.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS.cap.gz
new file mode 100644
index 0000000000000000000000000000000000000000..0adb804096218d78b2ce6a1a0dc7b23358382471
GIT binary patch
literal 448
zcmV;x0YCm9iwFSzznonF1HF??kJB&^hVS_mBlWV2)YwkaQhFq%P_eYtc0nM7ER)VI
zhWG==S!Mq{PB!f}aNtnENRe#M`(~a@#-q_$5sd&|qedq@?&0gh9J<KG9(94R(%RhD
z6|h;%=-5)4aMoHjyI%MdS5nsvG_xt6W*2Wv<zck|TRc=5yBt<Ax;Nj{2%EwK%z!2H
z$@6)dK|<M!R=&VW-swS=!YJ#pc5OO0N(sF`&3mcv(FJ20>gP8*7@OKJqyvJDHO>>S
zEV-4&q4rW6vUTP=7QQ0B_QpvpxvmvD`LjlHs79o+C92>MUK&lTb|jRN`Yt-J^=<Fh
z=z)YTSAHw??;SnHJJICA9{!9S<idVeisHx90hZ-9eCw&`8kKOJBpOAA7utWOU!r!u
ze8o4*Jel%tv7YfR%PILZM4)j>>2W<duG<*XI2jz|S8rdwUw%yfcuU6uJwZAknDhj+
zCrJMTK{6m<Jwe(NB<B*W)*t>xzy<_qPcZ2T*gx$-^i1koGY9_-9fAl?21+!%2orWM
qP1%im1z|3O0`}d_iVvpjRML7KiV`l|<Dgw%#?dcT0H2nb1ONaw57_wt

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS.fanout.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS.fanout.gz
new file mode 100644
index 0000000000000000000000000000000000000000..2cff00f7cc0d53aec779673cca11586f8d8f350c
GIT binary patch
literal 325
zcmV-L0lNMliwFSyznonF1Eo;GQo=A0z4t42hKo7_X#o*?Eu%7n!iaY!whKm^O-wgT
z`Fqn^QM~d_yV>{lWnZs%7NQ=&JrX*}SitKcg%+7CkaC1Mk=gqSlIb9c`!<e;o1~E}
z*B$W}3q`($dOF!m#upE!Vwlgs=7(yWT%NiZ>clS|VVN_)6p~?jeUpwxFpQJihCahe
z?dZfMH`+3K*NiixwWQ+joR!9J74&WJ&tBHhH=bw8fxy}t$AYyLTV)&)D>7o`%sb{>
z3HEH9!g51iqf?(A#gCg%+Low;Lb5U>EIARZ6z!t(qHnT)LIx31u6U*Bf1*b`%KA>y
z!pH*PSaj(6b@|afWLTD~aO=s2XVlWQjHnkySBkbK1%5+^pel$#%Nn+lLRwHZ+xN<p
X?YR)X6?jmz!49G?u%!6eZvp@S_RXNX

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS.length.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS.length.gz
new file mode 100644
index 0000000000000000000000000000000000000000..496f714cd303444a9e788a663f7f3f1d1377ea98
GIT binary patch
literal 240
zcmV<M01y8kiwFSzznonF1Er8bOT$1Ah41+l1G(rSZZ^gmb4@@CLWTAo;$|!>-I;YW
zE9t*C(TeumcYW{UgO{ckB}oAukudav1H2w<_)gOgNCsg|#Gi2lUESzB^Lbu$dO<eZ
z)9^3Pmf{3cwdz*o)f0~b>lVB@j-|dnw=kXHT}0Rz0jNM1_1%4aTSAfRhh^U4X!mqx
zG3>lx3`^axb3G0Jd$A6GD9HTmZ+2VAI7({+D4aY8QR2LMvmB7b604WM?`ULH5`}}s
qLr2kJupbfCuT5F!59ns17t5qP1vRTI?UT!{Pm?c{y2i)60RR9?_;S$z

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS.summary.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS.summary.gz
new file mode 100644
index 0000000000000000000000000000000000000000..ab65e97c07cf973f78b75cf82334d4da6adf0b9a
GIT binary patch
literal 549
zcmV+=0^0o_iwFP!00000|E*QqYU3~vefL)kghE0|EIZDnep?dQ7M7;OA$<&5XOe2M
zC9f=X6UfJ}e96VF+bs1C7{+tv%+ZWwxz%c9Rtw-ea+Flz8h-A5$ZbN`$U}q&&c&-v
z!5Q})(oG0)S58Km1!dydelV_gup19oL$7@=QUwoFNWy*UIi0GC;3avX5oVzR7=z>b
zgOT6wgG-#dEPaY8+wzJ^DB?t+mKi(|aZLI85?3t7f(6~g=uZ{_bcI$^Cc(yCBBZia
zoY)&CByz>Lu%D#(g`rAqrInBjqZQXNO7>f$eN?kqoJ1HK231UOJK@qcQjBk{W}|Oe
zR=0s!wVemr%th|sZcfFT$y&E{;oHwW>yRr4sB{9cSY!U01!)xJp2V$d9^<WXLv^I!
z&_t=Q=f?orJni@<NP5n|%{58SC8N?SVinS&LL!8esc*f4v}7VO2ZL|niK>^>&x7RH
z-8=76>6>Z&OmarYRHuI;-4&!S4dOkkw#?^vb)KhPyRbRZs1{!cbwtXxr}Jfc%&OD6
zvX(>bS{@~ERB2nGjMGjQdKS{$K4xR;@qe1jwY3y;iRo??(xlS22W_u&F^X<>+{g#`
zsfv=6U#a4G!)sMGl$#6hoJF8bE~}KMupU2EiI8vA%U7%XpXpjt<SA3;e>52PMq}^B
nnw!qD&usRJaubO^e*Piez&)7%K<O`=U2T5>e9e<#Oa}k}fn5>9

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS.tran.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS.tran.gz
new file mode 100644
index 0000000000000000000000000000000000000000..4c71f7fb22a527e195cbffbb4c8071b66302cf84
GIT binary patch
literal 497
zcmV<N0S^8jiwFSyznonF1ErH~Z__Xk$KU5uoYXfPQtLc8Y3NH*3KgTR)`CC?MJBxt
zLt+QVnX+#`CvnxKL!xLWMUL<8@B8_59*tgP&IsTg3RFzv9)3K`ptFSSQDg{9A>@5s
zffr9acU-!zpL(riy|sxayWyg4poyb2qF3)_rC~XTGJB|~cRj2kg%$5=gmtC?V(|P~
zIGqIn_^$W5O`l`M@5G==CW}&It=e!Ri-L*$)41jZ_9_^crhc;9!dTXN&J_@BN~tu_
zMM(}^DioRvNj6IU!c130*IFu$c`E7x75`HsIaVXQD03`~f@v-VDTN}Y6c=~S%Ua*|
zeuWx{>2jqvT%33G%s#Sar<mB8Yufe=cGj`YS@!$rNHEVg=H7#IZn0phOL0byOf#Xs
z`QM#(zj&Lj7m4qs-OnmWyJ+f@uV*Ok#E)G?y5-P2LON|7Q$Ok)V-t&v$E=jLuH@#!
za`pL(?>;-MMFI=r4nQIc5?hex+P{GWVF%)!K*F#G3Bn%a&8Nk`K!O2CXhB>H5?lsC
zdyw!1LjN1Y8-N5BB(xyjWgtNhLQf!p1)&#!IIp-k$QkILs1TU>C={$owHY_K=Q8ge
nMg?YqO$zM0H#j+}=+l%|tdQk!rTQtny`DIaH|3Ks!vp{T*G=r~

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS_all.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..dcef80200e549cfeb54c68ffc61276c9948fcd27
GIT binary patch
literal 4537
zcmV;q5k~GGiwFP!000001MOXFZ`(!|{qA2uARpQyuq{6H3Xl(uowPx-NgAg`i()Hy
zZPrn1Q?4FqjP?HaJ@dFTcZQ@iqGTm5NFbRpIlS|_k27aT@zJA=j=e`w^le_`)3bSg
z5&h@(NhFWsvx~eq&!d+`G5NTdMe*Pu?(E-mI>{&&x4b#k1yynJ|EqDa_!NB_^hUkx
z>+dGBdGzumx;ej{W$`y|Et5ju{C<%~Z_ejYG>GElNw@zb&7!0e4@L2ld^WzMf~uDD
z$@R@VUrfd2<H_~)S#iNzF&|&&>aJ-22K67mKaKV$i}}fT8Xe}JZYI<D;r#mM@b~d#
zniunNF*$rYo&1rX&u548#e6ay=U1a*ah*@ce=YJus_k%meRGvx<BqfWcv2kR6w^ag
zH7+jqHX8XykM`c4%|Ar(ljvX1-$$=70nzhM^L$#IU7`0^XSdPOY?e<&rP1E=;^Jm9
zF6N@clhJuG|26ry9F?y>eiNO4$j|@8^!+`Cyyz-FyFlxr{Ngf?Ccj5tonQU=)!wuG
za$IN;xP3IuFCpUahe!yRPsf*+`BZ8^odDU`Z>N*R&Fo3^U&#F5-VvI5I~&iUpT_y$
zPomk?<nPhh$Gtc65BW4gi=(6Ibo>$Bd5@`4b144r9CSLJy?wv8wt&oa4ic1l9KHQ;
zHp`>a598mVD5WDlNV-DSkI>fX{0t*>TPh=Jj3Mi3^e+EvF&5o)8>H{(91Nwb>Q<B3
z&Ov`TfUMK2v-3Zz1)(Tv>?V8ip5v<t3ceeo7th6%R32(f<fX^a2}UiCjxPRK%;rMz
zD((>FyGs7!=ybA}N@FE;QR>5P+ZW|~s{GT)>O##7MY4QH%iq7(<8h>_xns?Z-i{0Y
zXLP5Aj>^2wX-veK<NWGMl)lqub7y*WXJ`Dbsv4&bDM6m0YV2ixhVn?Y8i_L|t9!F-
z%pR+YI$<UG8Ol?-zi)H<wm0JuOwl%!=g_R*@b_Khm!Ter!jwm<CS9G8`im^h4RaaC
z-}6eOgt}@@PhPxu_uUUa$B-m7Tne{2WhT|t{NUCi?))g*`{McN&5PIRNV>sMf(vdn
zNjlKgP!83kL(vB6jTCX5bVi=6!=q)@ynOxB&xvf6+ne>P`9)Nt22HgfW4-aJ8D_dS
zX)5}PqjQiAy2yIHiK}~4SIzOO?`2ILNU}46kxJ7wtC77?bK`_2Ia_WuS(kd#AM~i2
zVLD{J@kPi1SN|Cm@XOpq6s?6iqBpUaZ81{t)ux)R=&BktW=hQbww8rYli@OH7~A8`
zV|(1jcBVPdguvK72#W2!HnxWtOB>tmC~=oVc}jO4+j}CEQ2e0S4wtdz*j`u7irC)O
z(NH*XFShqZs1S}l(K%$ZjQ2*z_EbkhBdZ+S%_WFLn0of(i=Pue8m?bWDH>{Lj#m5C
zWW&DhO~0>l!cSF1Lo0&$G%p(3GE1W2;B!X9v|%(%H;;yC8x5J}ghxZHVFOmWSPx6-
z?Mm0W`-)r}4eg-V$Y*1pJ!f+}S?S6(f*%dxGS-NOW}yp*&R0`lx}=N8$+)hX716Mx
zqoG)qxz!{+B^=RE=TJ@76+WJNqoZM0M?)j491YDS++7<D*RG}%4Yf0`iiQK~O<#sU
z6cN#o_eQPztO(}Qyl804l%t_qMq_Q*H4ADi4$Po2<+J*_y&SC#GZhU_^ZDW?Iu;DB
z;%^1@MTiUpEp*T!f7zvz=<DB_lfNAq5+PuH@)z83f`1zmz^d<T5}hoxI^H<}oCz{{
zB>|jf0bw!e^#2)Q5!9yGPY{g86V;=8FcB{}S_1eUsb8^@z@ZcMN9hb*MQS)+mer2d
z_YZo6$^*sEP@Ym73L(z=aF4!4L+bce+XdEYm)3<=8czq;sCR<k3`DS7BHE2S6xM90
zgRia?Edrbotq9M74r;>fx}s^t<u36Y!t*lC`lC^tc7}(~mWw`meUgBEmW6Cv!$kkW
zMHeF}MM#nd_81(|@j$Vrh`&9>Jz_w5Js!N!>J+Wc`kCA6vgp@R_A6B6f{75(y48w~
zEk=FaUTU=~IyQ7{FE(`SAgNeR_eYS$K|@)zV3XA#xvdTpErEj4>tfXNTJ4ICW3`G!
z1jmN4)N}xa%tmml*9f2|au~JAltsJzg4OF9(biObi*NildA^6fda~QT_Yf&)khEVj
z;lV}+xhE(tZ?qk#<?BQ#msUo0E<6xueId1>R45DODYa*CvCjLW5#0Gxn?w^SkCeH5
zWUKwM!j>g@b@o7(;mNa&Z><0FM$E6=G3hqsh&r2dL<$hMPeW*R58g3JLv~D(xZN=c
zu@KMCskt!TPEU<>dh$g3G=!l$JgBV4OD3MDvzN$kiZhf)%6zE6wlN1q9&EO<7|!*Z
z;^SO$s1}wkt42U*exQN;X^16oT9X{;f~7mMp1@5WN7U0Ck&(sWUKzF5azwnEipLbn
z)s#3Q?aa|%pNh)*1he~zkc~rdM0`o3I3g>8jhY!Wzf74UGS%pZNklcf=ZJa%9FgKF
zD>))u`p0ubSi<c3A;DoUgd-ZHAskVhwj5DNTtpc!G@76o5)B(Y8Ytb1jS1F~=nLWm
zWsx>s6xjYVG)-Abo2(92wb&1F!s$^^a6=rr$Cn*kuwif9RX>F{8PsY7Bd8g{<pARd
zu4aXthx%MrXo+CM+_){KD$wF=$fG?I40go`F7q~y;A%#w&jYW^2rUt8SR1#+oDZrK
ztXjO14ibWE*dUj~O2NDh`h(8lk=#6~6a41rWf}`Q$ZfI11=R?4$9QOUO`4k{IPRGT
zC^Y{j;`C5ZS-u|2SjZ>O7wo7TX)h?IYQfelt@Un{Bk$I-K=s2&V+2bPM@0niNuX``
zeO;TVk+Mh|dwZril%=$ZkEbTNtz({D5H@j@16IHh_jAwARw=IKl0|spY}4zfE#L}f
zR?<KH0KmI^Q}pzue50l5D>0G;lKZM(@V4j|`d$p2djRB3v3V+NDSGIh4j$m%w?$Wb
zJUNtGWPr3kVQxj%V11wXldd9d><6t^;68-%ls0jRy1}fSUYnVB1YUqbR^SD-BZ>}k
z@5m(^ynvf6hhuZgBnJXtDVbm?+_-(@wdZ(r22imdYT8_e)CQn>Z4Qy_!ZIK`b_DH)
z_8nZdKKAw6%)oE0WYy+6jA~G|lw65^Ip^c-Dk98aoa-dlZK5#v%OzW7w??s9j{L?$
z_p$AsBCPDr(*><Aq&1X^Qk19Ep20=CC~~=J<1o}|{Amu#BV|q#*=ncRd{2?fvyJyU
zzUH3<DhC0eQoZ|7$)e(t0F@g&YKLKg#}^X5U{D#yyXH~TVE~WX%|aeQPlxTZc57OP
zE>4kxEM1zuSy^jG5JIsc9;(;Q+t;tvs9{9wu%q#>0z}Beb+7_E%6Ef&7E3u;dpmMV
zs;Pq^w3c614IGwpm}(TNOxX*|ZZ%0yZOj3$>Kv-+^*93|Mby52tp=@JjrU%(ez3kt
zHEhFCRa5`^HGVfj&JiZ0XP%JjJG}vt?TtoBZ;)cc)IBh03L=)Pse|Gn)d1EyhpDE(
z>+t+2;d1$Yo7gkW?CaM9$#9aUp*PuRg!i=j@+n1EngP6iHLu^it9;Hfq?(G}C>1D1
z8LuAm;8oLAGtxOgkWiK+HiL)AQg3J%L&b1Unl{gJHLgOFwbWL#Exc0Wz{x|mSiWX}
z#AzBNn1VP=0JD+Hr-q>=4dRuSuM?$os!Ef`wZ^0fS0&0*dVg5HGSnzUjLz^N=D&|?
zZG&=RZNTK&#xI$?fJ=nshnr*h=Nn=9hd>a=!|txJe775b<w4m55X9NAC5QtoLKRu<
z_oR1f31aTWw8KV1eq5ZPJW|GW2&^Q3g2#sx+aQQ*C2vd+_xm+ZFl<Z^*Gk@)ARc!4
z<ANNzJr|QhpwOqD>(Ck_h`Ho#MI>vYWP>e0vtv@q(I9CnYXRCCWNWpXXb#Z0ylt5S
z0kpUifY6lhtYlkpX&cY1HhPU?SMN2)$zZ4WEI#NYNf18k2H>-9x8+((?yc^`YPToB
zNQ=)lz-L{_1KkHcgQ^30R7h6%jB|VDtqI)VJ{mypU4W^yuSN_yoTe&m;MYm6V+}(j
zyPM%YigG2#2~lflU%hM#96U@pK0}+kqtUQ8NDiM#j+8dTOOoHbOrAXx4}-c7N`y#u
zF=Z-kh5iG%=}I2Z!=M=v&(iT#&mdk4W|-2K?9L!@8{*||{nm*qd0Ro$dJ*y)EvB?%
z;^%0L!Xf3BDL-AYP^4`zexyZ|Zb<mC*0J?0vbA0fKLLT8xF=@5%r#yz2~V7Dx<6TK
z{cXUc{&DoBKa!(xa3z?;rOm)31mG9azwC|sY`0(%ai9|dCeu#H3sBwRP=(ir?&#X5
zK{s-P);(|+LU~G?ctnB=mf#a-s+}W14ipj>eaKh2*5;DyNGS4?%Ooo>sobvGDCWOR
z>Pm)H$+lycMdt)C>u|D<5oa9atddMEt(9yi!A{m@ISSwp-S*q36oo~3x}epCw1!eq
zit?1&Gq`AtZ}X=Vd-CaxAY%^N+2qc_Hs0&_ntvLsqkk-ZDb`u>?hCdyH~kz;!|%cD
ziaJZ;oui#J1nuA(5CPO#uie@Vw+@O9I#gHe7{~zJQfGX@#xY4bkD+8sK_CYxWChx(
ze2kk*b1{iU4wPFaxlElcd6uy1Q!YdK9TeZGqs^ysn~+my^^&QjAm!LEd@#w|a_?5(
zNuOJ^W5=YFqd}@S`t~_4ZHcZi!>-pb<{-4g<!#Fx4BF8j1E@qh)7iWj&2Ecx`IWi~
zey3ER8O!5}kxzDg*<4Q?@Ak)Tz;dS{KXxO&!MFN50r=!o%k`8zUhN6b6~gKb1VY|E
z*or?41`=*l|Ndnot{b=G(9TyU>YO>t3W$Q?#JB3Gb|juZVSiGV(xAnmY9pFcfrVH%
zD2vp^LF#K{gegjXp-hbHL0QR<0|~8=&DkGQ@vr#(GEX*aOiqm0MpU^-nkP<Hw_B3m
z;ubX~%VaY&R4v<1My<N8eS!45#Y3xxjz)^qx6Tvz&}e%uz}75vQ2T%lZJ#L>WhrfL
z^wlk8){g8li>zdNJyW#iLOD;U?Z&F94Y+O~lm24FyZn6eF`wSneKev1FEL8^-D~B#
zn!L|yTnOQ^&%HNhhC;hzClPbr@9+3Qt0d_MJZP0<A$w!Pf&RkpL-&xo*c*c(g}O;-
zNfls%XKV06kWxAAb(r%J=lP$MN4lZg)GmYj7|K)H+<mI<)U}+sQL*jhe3YT&96~@7
zDzd+rVsop7SED9;1CP0CDIBIIdjr^{bAU~-Qk*2%RN)=PEk10L!t0o?8sT<A0vvs4
z5z_OA?iSi@GvOn=S=SmvibJU=MR`i?8C<mLy!p17YuL;@$|fB|ByoAR@m|N*{L`Q!
z{lx$S1sZ)yt3^fH@lygH2~KA}`ms3Ar}MLMF~6ltEX8kz;<xCYM(^^!7UOAtL7|Nm
zL00<P78Qv>Q2|s1o)#5Zgw&!UAdb3+j%ktkc~B8H*2p`ys0b$fI69pyrssL|vY5d&
zjwgj)HVFIHhct6dc0Y}t<(K2)W&$5t_hmuu7Ftw<L3}u<sB*9T^P?jD<%m_NX!(nH
zWcMvsr0u#zMfdLhc7uv&2~Dg_9ixtUucC_XlPRJm-3KJ1#TTVPD@djLXimeuHNN`0
ziyF~H_sJ3o*~H%1TrEiik4bK^77iI8=eC<_@nqY{SaS)yq&Vq!OA*x%5{;2IIJ3EK
zch<MEpQgp@MCc41ltmg&32SX3rJ)qvq%5WO3@)xu53$xU+80^Zo{1e&NCG!;FTvI{
zt|`!x#uI0oUO#OCR}43#KPRvX1*tC~RK7umOJwdX3et+(qM#N9T|pOG6r>G;T%{Zn
zbq=SP*Idoql$muSy9P~=3tcTcWUp*Z6vW(Oi-PXm8{8)))S@6|wJvPY#^(^!%$_~i
XV!sTbki-{*g7*FoaA+(<9-aUIprZD+

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS_default.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..a802185a7b4ee2aedad870961b89be8540d2a15f
GIT binary patch
literal 2775
zcmV;|3Mlm-iwFP!000001MOT}Z`;Nae%G&9zz<DfNa9_jfj;=!8cppaPKy-9DWM}X
zHXE5#Dawg)eExf9c6YeD6lqhk>iC?B59W$o&dlDvZ+3?C&d!sL-VS5mCs|UA%jA;%
z`)-f%<8XYLWRrxQW?6o_m@_*Z*j9gLSx#)@Bj*>gqNt94U#HpP3;PlVap1lBA)lA*
z^oY$ScXQAF*3^<`^5OT3gq=@H#zJO0d;Vz8^_XMX5mrA+=IIqx6j~<v&8$oo1>Qc)
zZ*IofrEW!;-X!8F)}Mj?!}k}gpD)Uzv|z*J%PcR-VR<tfeoXTs$;vd#hi{7fk7QEL
zhvlNoi!_<W+2STC(mxl;khBfco7ptEfhWdgnrFjVRt!N^nqBpt)bl$#y*K0Xn%R5o
zr{nkRH4Fed{!%7IHl9N3r{g=eKc6QBDrLRn>~fZ;S&0qK<4IQj=KPEI%B$ON+2lHz
ze1hTooPs<yO~#jC9ZN2+5|)2t-%O^TzUdt#S7|0iz~ga|T!Dz;TZRJ4BE7mw3O)nc
z1aM=&FY?7~zQ_J^nS2~CrsaQo`(W<fJe{*&(&Y0Vn@{u4@%XlPUS1~!1FPA7QKYxf
zr1vm9VjS_WHLxtJ*RQu&Rp2FS;6SZi_U3v#PuRtE`Vl6}(qRuAA7%XvGqxzl&`Wnq
zbx@-Xvc6;Ql0O$IHq|spK4A?aF00vU5^D`cQ3$dwrsK({W<gLDH2O}DKXW+Eq2jv~
zT5*iS(s+nI;kS0#5%gNZ_Amcf%uAen4NqWwU&!BO7x|*#3znvgm_piPeXJjd`rk1%
zA6iC;@%omO-~U^WT_)7rYv!^yX{P^V_oC~l&RIfzf>#cc=@e_<%cXN)wd!7t_<fTa
zLkE|@uaFvbTfahOILm2-SE{0H%|m^*D{jh@R#U%1Wjgo!s?=AlNn=>z%22%oX8jJo
z?^(SLwE!zq8O~zR#T7n(p-5w+!N%c_x)Ls-P0hv8$;rDP-hQw_5})B*xGITd(yZpK
zsRf_B<@TN&$LA+!Zp@)DcHoAo#&JWbhDxBujnD>a4Ht3fSaD6(aQ`7Sr)R%>aJW@w
zYgSkDE2<HlCM@u@*3{HQo@|ZlVq4*`243hxvDO;9xixKS4qyMsHCZ4@jR^FV>#nGV
zw?>SOK~H?NOf{ZQtr>*@sfpZ3YfW7Q?{M*_ngaDY_ly`Drz5n+#?i)}0<5mo_}Em@
zY1&fKmfyyTfN7jEoi+L0emcL~TYlGO4lj1*_plSc2U~vcvS7CSu6jv_9V*lL+4(&{
zIw1}reh1jtFu%8{d4%76$%qK#HGUr<T_CtSvIIrX(_16?-Ia`3$y(<3>K2Fusyg`j
z<bzXZ#MRX-F`@)?u)40si$=0Fqmd{<Ynm8QiO_JZ#)zuU1B@7cO-6LrWJLGrjOcC|
zQJXou*qISwFWX_q3;SX&cDv(Mkl#?+GNS4f#eRza)HPLlmK`tOE7TbgU}FVFtTwy=
z>iVt<B3>Nk;iBE9<`G7;BqQSX%v9q9LO3v@EFmNMi1XAM$%wvW#7fpOBUZQI=~hNu
zS<Mn7N<as^sH^cpYR!mm4511#qTU*@|5GA3>WDuzMpSi{8BuJfVUOrn8*2yvR-L9z
zJ~dxASE4<lw<aT6PiI7H%ZS>{;l<93=yus7I`(#t*k#3R8Bz6;4m(t)v*JjoufV+?
z-_<5Hz+qc(R@K7Y6drIkW56(fD>wxFl&K?tjm<J*tC~j`F_esmm|bH;3F*Ly3f#Q_
zgO4>v3?w5~vX&XKx&=?SGNP`gAvn9NW{D9cp!0Ds)p#Qjk_D=AP&ORMh`3#()(A#a
zBCw7;puQfQRdtpbu~H*L=&0uT8POMvc#)Kg89T)MrpRt$Qi$dtq%UC(8mUq3))9O4
z`|7FDG)`332?cz;)Tn4XjbQx@v)X?4)ToDP*2hw#f#Y}BD7v;xMXtV4Jk4f{Qs(*U
z-6DTO??AGb|LB}b^+f$HsZm%HjwS0u(hsxk80+7Y8WoT~sZ?V`l@C>!P)vd%7CbHe
z$<c&EKlYgX#XFD6aITV@T2UfTy_J%x%oDFfBu3{}GSgNew}=P~u9Ho=t#}Q`q(VlY
zNARS5?8@~<acsL*1jxQDZ~yGbf&3)Dqi@){$oo~7m&G6jQqP7w+Y`YyNUJG=2m*aC
z1NOOKpEvS`2MzMhxWLy-_H~gb?Gxyq#Ur%OlxJ7@INz$GkD;TpzT7#EVi2Hnqm+j^
zMBaTMTkpSa@;C{K@y+4@?K9=sHuWDM3*3bRD9KZsH06~5BOICi$K{!^W7>vWgBE#e
za@HsIYQJgyu8wdo>*WviF3&T9oQZLK*q;P)5;X`s=!~<8%5d%uay4+%=M0tU+zN8~
zTN|L9Fb*%1O*Q*8*Bpw#p-_=PPV;@hEn1S-wg_lB)Z{7SSDW<p+OP<C8a(LW(1|cx
zqeBO`{_h<+0OIF<*DVUVICLYgi$mwE9q0IGICMk@a%orYoQZUJR|4Hy@3Kkh=Bb7v
z>!O^72~?zWE5_-L92i9yXZmr{T8?@(H%>=5(~YZ3tGq7L2{D|w7HQxlRnqD{97=0;
z;YNBW!F1v3(khS3v`u&5+N25Fn*BF!TeJISx|c4vzu_pfhWk9sZAd%cKXq;N-%Q&K
z=dDGW*>4ewFr?W5CAI_JJeY^zx<&dZ5NmOO*GGX%t0Fq4Z7tH&WURB$D!*x+Zr!%_
zUZXye3%3Q!a5y&zW?URX85~kEp4UY){asp`IdpD?vu-Y%W?ju=GqzRQ+;8&OS|-2l
zuxa9y>FvYT;STw0qYnPs!vVO9Q~qtisvjp95jY;hd%+>N7y5MqV0Q<P&GTHbcUT?i
z{uv%yzZ=YHC<k~XzPniI`vVCV=4n$fr{e;Z>D&r)oxC<3gn`#JM=RhVFWQ)PblX6j
z9z5zI&h$UJ#@<GT1JcoPt68UcZmr^kb%w81`GH(qW8_UwwiuBuz*CqZhPbvpz2>{=
z;?%HrAcFQPv?^AIjcr^DhLC>%1|!KoV8S)Ojce&CXK<K+n4q5sKFCWF-Uf6S?IA^+
ztZfZwZjvr<Lu&x3fycGN4t|n&p}H}y1-CAapPs3F;eL`G`Oh8M^8F5xEkN-Oeo|m<
z{iJp_z&peUh-PrKYzH@tby6AENM$&$yB*Ylfy#8=u%9Hpe*<qP<Ow$dx^?}e$HlGZ
zCq=&g5(~kwKrHBJO4)I@s||%UKS>v-I`-j-^~hbCcUw>7fsUe1l53=8aiVXz^+b%9
z-@p?A=x^{u1fN$+K)iZa|3r!W54ESM$=lExs63IVgC`<h+iUbh;MOKGY+v=iNj&z-
zl<kDi>WA0^3$kL5zcS^9U0#_A-2e4grsRLC0E+&5UYY6!bsI)HiK{Qk4-r8E9!YJn
zj>l`1<KXH48DYr}F~n7AHULJ2ZTy8e&LQa5w23n#A`S5xtNKp|j`q>MtNS5fogc?h
z5IVyH{*eepM1;8WQ|I6S(^)m^qKK@E+QgY&l8Z@(eN$XW=`2{VLL6V=P$VCYyd;jM
zScSYKF0P8`!yUcvTEuOLA!t=>y`*+8iTX$`mkv(S1|q#;3UT15ieSLHJD9JL&<cz<
d(6!mWUP22f4thc?rp?X%-d|9?mWd@z003ucdwl=^

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postCTS_reg2reg.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..8d27cacf662d0329dbd99591a54b9d9b14e75d40
GIT binary patch
literal 3721
zcmV;44tDV$iwFP!000001MOW~Z``&Le)q2+kcXxS?A2{=2KwOGPHUVVJF(N^6h$iR
zu@y({TD#qg6XW>&_YN;34kdAymew0PkN}$_Q5ue@nU9}Fl=j7oM$66%#(qq)WHwqP
zSM0xc`%El{qpKvlOxQ`5O>UQS<`4FKZ+Gf>LG1HG&MwrBa(Dj!=QLY>VP6KlxEH<r
zX)<51lOs00yqib<_hu`TOdb4wnXt3V1!Du|2m9Urei*U9^M`!*qhy|5<BqbG%gN1j
zkt}Dt`fze{Gs>>06^ryHkw>xJDYSq1{(|jJmW!iw#yZKD>14L(EN-TqkLhHVWQ#PL
zbl%P;e<qiUd1tX)OlD~^j<e-WGE4tnCLL_8lip0n$qgJaTBMV#GtFilXe!OFcN+El
zix)d@M~hF)-)H|me$OtF#d69HKP8ucvCk=Nf176PGF!y6<ofsEL+9{cZ09(;noiPe
z!Oxmh9kG{xe9tcVp>Pew!EBt2uE0c=TwNz@@{xUaIsWUro!7~Ankf~i!28g7CloAZ
z>GgFo6K=pP3WUW!&L+$0e4qUfObg)e|2qfSXnZ$M=j_)s`Ml5O<H_fEbh~r5_>{~T
zSj`S*v-EZ}X7Aw|$&1PVdwZVe?d+;^*V|3W+Y5Ye=M{VVX*5sR#i#TmcuZ;W_ku3h
z^$WP|Y%zjfx-0F&TlAsDAJ}>F_cG<DY8s@D@b-og*Hvvbs`d8zVFbD^#v{09m5N|j
zu-@zMh+_`N6WH-Qg|j&3m!)!%eIlw}u_NfUgdJS{xtuS!2UZ-x_wP#muh_+8ITKMk
zhduyjg(w=2jDFbV`}gGjKQQeNwsRHxdvbp`Meb7E2liEqyBl9#F{%1qo-2<6x!lW6
zQ`^~Tg1y1F946y2-|b$V@qK<i_xf7jo2sQ+d6bY*b*aLrg}v0Z=KJTOvbxwGujB?*
zkXpJ0Wh{4hwY00PPGbmS!?-_S?Vc63!xp`u45hr3vQ~H{h}hQz3;<~OJ~q`yZ{D2$
z^zJ`C-%0qYP^cy8@YSl{33HX|H^=eWo6|u&iFxB59AT;s`a`7}B~Tp=x<vJL81>`W
z54~aM^=j1zr$+&|xoEYE>ffm9EEZb0Cb58{8LIt3sH~29zL3D`UT<Jp9fH+SKQgT@
zt3DOFe};z4zIIWqtiBZoXK`PetN!0JQ?=iXl+``IhgJ`QfLJXq*J03)L09L1?_*Pa
za{8<6YS>Bp1@wZG>fg9(>3mt-D_Cu+_I=*19AW{8g#;oXH;6^Qh5_^l_FSR*BKJPi
zYKv+Tpd_dpiHLm9eVEXr{v(VO*h&1l!;%jVdUOy21Fvp0CMf-?kj^Dq6iy^0sLRox
zh}8pu@)vX)EV;v=jHTWJmD-g}l!wMCLZhABFqEMrkPjjdk?PO@$S>)$#RD)l(LzC=
zHoj2|?85aG95ZlW#i5-QL)ACWiUG8@&5DOT7gjt7U0AUn%1C+caJL0kydLxfAXQT0
zT8vmh2qKBlF8+}%C_-5q1~pa?{6G=QI(WFwVgii783Lb^wjyVM8{;xCQpFa=fC#xt
zF)(51H;LdYh%l!jNKv%}8>1m7muRucgI6fdxvI%=unD1<l0Kps_++lQ%v24<)f~9b
zDH^euaNre+bDnBiOc}6Ev9#F6QVqox{%f-K5biYw{ejmx;9X>;zh?(0p<li~GT5(0
zvDwE%?Q0<2Gefc8<72ttzUqzxF5Ym+$vgHj-qVS(knfDFx~SOV$Ng%>IxKbcHj)tC
zp8G)Xn7kMJh+lG89BA(*a9_2?TSO7c+GtNa2SqIFU_6>+T1SwbQ`Aaim&6f{Hz~9w
z4iL`^>a^)iy<ZUC(1Gx-P6J<@u$Q+D^T1C%5e&)OEhmCSQh8k>7{J|u@`jKu=CQ<{
zJJM}|^70!&(N`+UD-cgqS8`rJE1CFyP#RdZL|{d<ps|myVl9-laZuw0#SoOStOJS4
zU}9&%W`d5u7r-Imgs`8HFSzqwIG85*5GYq^b|k(+GtyFn`SuJ%Cj=ETz;ID)lsYG<
z1)H%Au-U5Fq-8bozkP$3fz1!(z6F~J`L$}6Hm@bWLUSei9d<2wR2XKUpKY3LX(K{^
zsb=l$Iw+b+h#SuxV;_@EI)H1NMO9b|zD__B#A?u#)GP-enXJ8Mws1hGEa=-*I6x2x
zQW-grO5dJEg(^-e8%!>MZUMyS8lLj(0)N}2G8{VOQM*y#LMn&t?1FkD296XEw0g;T
zW*+`xss`?}LAt5i1WD<~W^^6hf-;ou+|%eAg)e28p<7VK(us&_i{;tSJ8NBsXTZ0h
z3?%_r&K-yL$5dLC)L?679qz7b1^~-gz(C3>Lp5W8Cjrf7f*zloAg25%iL=Tc%`K~^
z=w2+7zPT>>MBUa5f9xFyn@qxu4Z1fYo~}#oCM}4_*_h41L@9MPVK1K(&^OKom~1bO
zgWezn`^^RV!Pbn8in}xewANViX0ljz5Z0FFWdwLO(I7vYfyi*;{STZ?6vt6F>Wg_9
zsEL;=nmIi?ubf%p(oDtKNEgWBh&B)H7n<qHbMW?HkPuf1TwoaT2{U*$csD1<@Pf8H
z6<ln_bZBHL)@C$B7%;P`6-4Nk%dhBB>?Dpnu;c?+J0n7N2>e%F!%H&MaPD0>)JR4Q
zJJZpn2=+QQP%7x#RBRxZ?>6W1$BnqWm?-?jxx7E@Zkx+@yAE6)QZ^1;J{q<O;uT0|
zR;|Z95&s)x><UH@O>%h2@r7@}S}5H~y26SwWY8@rW9h`p!3>IGYi%a@2$TXG5>BW{
zDK?QHwrXyiAnx}qGZ-2th^?9%Cy0k#I<1*fw`1;5ND7OoXB%5131X^QJB}Gi_17>4
zTY|Qt53!RJGPDE@lcQxYf-WKe1Y$Kz)F)_E-=@L=30lvAph@Vge31*PHZf%tW&k|h
zRI48hwo1?ZJue8H=vmi+o^`uzs&xg<nN{miPlSI<&l=FPu1Ett20a5;uO@zyp3&6a
ziZ{5rGB*X!@0A3Ua^TS{Rq6S?O|va&=%U$t7G5M#re;6D%v#u&Zx@No8l`7ob2pBM
zy+P1<Es~1T=88$NXD7ky*L*IhnYMM&Y*NZl50xN6Zqw8}z`39i=A8xbRbN4P4~kIA
zqUL-KT)}3G=1q;E8aog-T1u(=q$pt@xiiX5Oo&pgaaTkU%Gx)%#C1@_vd*0?nq*pM
zvPmndmFM|GhvAE^X7G}1A62JKFJ7z%ts|H0J8((Kf-AWsR5jz0py0`W6d>9zmxKo_
zo(q=@J(t&?y2GIyx1T%CLHs}ZM*-I39S5aNeiA^T2>}(+f<`{RinUPIMnR1Z6hTnN
zvJN0BgNdC5n+Y}o#{q|g6Do4a$~$eU*_MJrm|Uf~oa*bUr_r1-nVKQ0M1R9G8zpgG
z=FwCiNt;oQBcF5IHKV0g&H5tfi`7g*e}3+<-_B9w(N*vT<u2$oSn|D4#!_#AN*#R7
z-}LT@xf@QwTnscF4k!UmP;k)37f$?vt4MVQ4pe8&i!bn8v%!lmYL4QQPMih)*2zxj
zLUw|1;E*`$wa+!(g98T##V#ry7l`q<O`J7IoPk4Dke$jo+z%u>R?X$aSw8u%M0Oym
z6xl&ZB%!Lv4%mDlo)OZ-nO!qtI;7-O8wJgqLU--&=+7<L(S1^qu#@aTFXEk7x1Y5s
zJR`J*Tvb-bysOaRfD(`$s&7-_fMjR5g6z!Zi!7esWtZZUj}_US(hl`<Jf<2ktLtgM
zpyB)5{m>00&qJ3F-S7`iuK)aFf1p0M_}l?-8<`%sBg%6SIP&HU2sY?Rt+0|)AOefE
z65X;Tg}ti4*2yZ0P`c-PB-AS$DZmL?MG;G<Tf^M$3Mz!g!agWMY36*uHK7u36HB;I
z#!uBLYm?(ZD%R+xxu3k@-@OlDkCAwX7!&sMXNXc_B0Q~ji_|wiME1!l-H7>C-TGpb
z2JG4!(7&HM8a!(5NAxcC6uK{&+b*0MU(6~pqs>8pZdv#s_kP&aF!qU4p@?Pups%)+
zL_3J9BC;~iTL?uRE+`e0>eHwl9r{3IOui>6(|K|^xlLwwwohbN@FkKEK0lSvRp(vS
z972$neeEY>c~{7DGXL{y-tTXDmQ@h+9cEbtk;{{@;Xr-P`ne<IcKA|L!UTv`<69}x
z=M?G?@ZsC(D#}ncgiVDE6k|}vvOavO5JGF|S->^|qdZ$;e1f_J4lbk#y2$d~lr_q$
zS(9(DCZQZcO<V_{HcA581W^hbk?vKXBR`}_n}l-PU9&~Gz91UU8%szBo;xf&DsjS2
zQl6aOI_dkSB3puMUL*dMepLupQ!$jwQ0E@<%45)Ru;fmIGM0J^RBCr_{?N>fJrW+}
zkal`#M)hgqo5exSiqx-$<W!*64~Mj@2%T1yoBR<GfwwIy;yo(|P&x3ltVlDY2SKC+
z4h19yJfW*7W9fvK(*MDp)tMv;?%y^mg6C?8j{KxsR`kffE!46i2*IZ~m58#Kd-|(m
zPmvX=UyWGDidMgchjHKLisY!k?;JPw(`qd%Ql0uWSW)5b5G=`W5IOqLA6RRjt%>WD
z5B<jNC2Yk7MJP8;h#oUb#C2o#MoZQt5g;bQqLmIA&+YILfmOGrr_Jt&>Cx!lZHkEY
ziYa3sDaXwLKu3QuL$u)p5s8>h<cH9YuynfJ0UPLz4w?i(jUtpJo<XeUL6S+7)LB9S
zgQB2Ln{HmJ2d?G0A@x%N>sU}||L`kRVYs&}NI`weg4j3l1G7yZnAL(q=|c)!5Mz{M
zkyR9-bjL;s6ADBMSVC4&#L@{UbGs>AuC$w==4Kw2Js}oEV6kOEkB$b9nG$MQ5Ck!x
n3=L}pA6X-U95#`7F3=X84U$Zvr0#36pq+mJ%<)js6oCK$WEvz!

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.SI_Glitches.rpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..2f60f0f1f62dc1218317f6154e74102c75e2f190
GIT binary patch
literal 90
zcmV-g0HyyQiwFQRz?@wG1M^EQ@k`82RUib)aspBlvtjJ8%+zu;`3xla3`}`0WiGCe
w{F1~Rg}l<-q|_pX{4|C1oXnEs4281H{G7y+%>2A!D+L2C0LVV@dXWGC06~u`tpET3

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.cap.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.cap.gz
new file mode 100644
index 0000000000000000000000000000000000000000..46b14a4308d607da3d6944c09ef7e383a61e2a1c
GIT binary patch
literal 450
zcmV;z0X_a7iwFQRz?@wG1GSUiZ__Xk$KUf;oYa>Msj=g<Rp=urg^JNu9Uu@wmPv2J
z5dXk&rtH6;lcilV9(bsBQX<>;{pLQoTt=g_A{qg_Lyb;&+{4fNIdqYWJ?a8srM0=M
zD@d|9p<_!a<4J4TT=&AKxRSbVpvh)@Ho16jDi5m#*y6sLB$vY~M)&4VHNvLw02w4~
zKF#KA28<@JTloSjd8-Fi3ZtyY+O_H2C?)j%H1DOtM;DB3sJ}C{M;M3uLOLMWSmQkL
z%90yt9BMDMAzNpDW8o{}Yj2#ylIvQblYeU@hk8URTcQdM;ib{UYDYpbsc)n6n%{PR
zjUGtobLF>EKkw-=K8hw6_V8`&AQ$%6r6~S99bj2*!@Hh}cBq8wB+)1`ywLu8@*`^Z
z%eQ>9%vs92#d^xSEKkU{Ap(t4N{{R5aoxt4#%yqqUwwG<dHI#m=TGTapeLXMf>}?H
z^#uQTUx0uO2$G&4?Frbq1grIz{}H4Ef@x1cdxG>udl0>n`o_$`|3Zf#!ZiUU8eW76
syOXBu#=U|tmq7vh?%#?Jrpc+K^*R(KT)4+U+g--d1EZk&p_>E%0371wz5oCK

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.fanout.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.fanout.gz
new file mode 100644
index 0000000000000000000000000000000000000000..781ef7f06fabf25e8fac850cfb269cf6a4fc285e
GIT binary patch
literal 326
zcmV-M0lEGkiwFQRz?@wG1Eo;GPQx$^z4t3D?E*+!+G<Rt*G<5r!KRJ-6k2Zt8YiUA
z)crkaDT8s{J4A8(o?rY1gNqOi03MLgNyZXhk2!Rh$`YwSm=l?UuOZ1sNj$W1oNkj=
zvfT8<Un~^)2AXWLos6#@P0cW$fh~^pIJrJ|G1QA+Ji@YIfDDo}zs>S=0%@Gwwe%U*
zYENe_h0&JLyS6<wT1zVb_E~BCR>9B)|F!XqFbwBRIS|-b<5;k^VyBElVns%*oO#EB
zYr&q4Q&?@uYjo<<qxkU?O4|x`P)JsWge50}nWBAkS@>=G&&VJ`&NZ(T{m=A-C)wOf
zS{PXZoGv~3dQ*M$4^ynFRoL}x!Wy-79U~e<(T$>=$${U{A*dQ+(6WJ@q>vU=?ev2(
YRktpLZv`HdU9_X<3+~qpR&fFV0HHLVivR!s

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.length.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.length.gz
new file mode 100644
index 0000000000000000000000000000000000000000..b792c0169bd79bc4122e0d56a7e1744026aa3afb
GIT binary patch
literal 241
zcmV<N01p2jiwFQRz?@wG1Er8bOT$1Ah41+l1G(rSZZ_1A=GuT3go=6(F&WE9cV^wp
zO8W0jw4y!t9p>`h#|M+97bQsn?vOEbiUYhJYxvHl8<2a1Ewg;a5o~p1^UUXY(b@&s
zJ)eeuc_5AxOjX&ItE+n%6}Aod{y47e^|?j(1iwUtU9SKY*rL9z>Y{`qx9erz;7EHu
zv-IM;Vhl^Y5$8G{{`X1_e<;ZO?0=G|2-$oa3Se;Z64a>k=8YsEE3ufzAn(}gXf&z>
r!b8i^VW5wQ=GSM)`2)I{=#+$w=U`?T@jkii`DyY6okOmez5xILcmjLn

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.summary.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.summary.gz
new file mode 100644
index 0000000000000000000000000000000000000000..6c47072af47e12182de34ca7cc340e5dc03fa401
GIT binary patch
literal 566
zcmV-60?GX!iwFP!00000|E*O~Z=)~_e&<(MswPoQErHRF@^)Hvcau)r)K=SLl~I@G
z1PPafT`TR!FF<L_hSt+KOCV$W^A|gb>Ge8^)dTo}93|Dbg6CZbrQFaJ@(AIUbMcZZ
zaD$;s1{opV(k&qO^L^k^elebJVH=E>W8eNMl!n_WWYJFfZokPQ_#V8-5$+=m5P<82
zqagIg;1PFHgileim^WA=k!BimS-5XRno_<x^=p=5g@Qq5_-7*52m|w;G6@cDGa<F3
z)67{jA(3mwh4UcAZ;Z5ZbgqSDm@IjoqGW$^bZS0_rCEZhK~T*EcQP&=L&kV)b!&dl
zvIZT*YOQ^qo6gAH`|W8+H(Kkm&3yT}X1$iobJTeTsaRq8Sr}=Ol$ylYGmp_$xTblc
z;k64>B~N#Au(|4o#z=<l$S*mK7EEfbv^7AB28a-{4}GsyphqSWb1--e4^(edSQ<%P
z-3RTI>6<D22y(CMQ1|KpnpB`KHR2<xHO=S#W<L+Rb|N#RNvnS$bnV%fJ)J$OI;xH9
z%vxSs*K#L;qgJ*?nW}zadJ@viAG0y-{(tJrxwTY%N$GYO(X3Io54PVwX~lpHz2dyI
z`VU#Or2I@4|7vnB%bIdC@QzUgY;u}qe+t|Ehb#&5SZ}_X<^Oconj%+Bn?KSh7+wed
zMLq6pmy{Rq0{$|y$nPvkP^!LFoi;PRpHYHXL!2-jZQ!N4o`S0oNVmcF2IlgdcWeg$
E0AG6*3IG5A

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute.tran.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute.tran.gz
new file mode 100644
index 0000000000000000000000000000000000000000..3f135f1cdf80c61eb63b6f05afa0d602624f2521
GIT binary patch
literal 499
zcmV<P0Sx{hiwFQRz?@wG1ErH)Z`v>vhVSz$j>Jtn37j|yGh8l=4Xp!2+0<#8A}<^|
zZ;72bPDTIyoWzKRPAXE5l-NEWK9677gTbrJ8vuMjiJBYS!OzDjw3hH4$_!y4rFy6<
z2-qm_ho$e+G-ygzw=VHyH$v72IIwX#j;=nc%D`d<W%gJ_!F9ijlCF4LBdjt5z#yR0
zm`&*zs2@z4^chy-UiP|VswfTCx(O$$D7f4`jT=#5R|Uh;_TQ-5APnt)CNvN{lu8?7
zijwSw(kP9PimbKzjhU&4sg2SC^HkOaYVo&5a_mP$QRZ0K3T}jwq?DT2W<uV3uM@vf
ze~AW&)wwcjA<s2Ev(Nmn)m(1vL7jlTm2=$YJo|HWB$(%Gd+y#o8!WhPQ@nwv(oCA~
z^oQ51=kL?iJfT6_PL^TXMpH_@ouT+6%6v`QVc$98aMC#529wq?w6WNF>==cOE4lf!
zSbn{u{<F;*Byb=>4<vLTu>%P%1Bp72_yiI;kf;NB_htSPh~EPlI}qkTp4a{lgm#Mf
zCq*Ks2%TIE681o12jV-B@G=nAfv^(@b0F*j5buqUdo=~~3pE0_Ujzj|q{d!1cn~UY
pA4UcC2HO<awXbk=tcsqdG{qWu4p+Lng`4Y<_YWC=Q!T{=004|)?Un!l

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute_all.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..7e07e64150895e10cfa801061323dea9e43e7920
GIT binary patch
literal 4711
zcmV-t5}55DiwFP!000001MOW~bKABOe)q57Odi@!#x`%dFf)B{Y^TjMCvokz(@y79
z<72aqTAOkuIf>`^{P*+WvcQ5OC76_4*CA(YtVn3F02aF+79jNOS*vU7SrB}a7y0yb
zo}UN*xqT7HYyb2-FV6Ddbx}+{+{}V#xD$oj*I^isBJq`XCpx3bF8;q67dM}RPs9GG
zpMLr6WHt|89|YHDx3e_*$}MG5=r8|plLzn4=0PwFqWDE`_#*BHaTsMH`$0Y%Ur<I>
z%Gu=VdY<1*#pC_S)zxWn&ZU@-uX6RRVEY>7A5U)Pd9aQD2jgk5n}52VOy|4vtLxq0
z$CGJZ%*VxK_h>r#BR`wZcIP+q$#k4wj*6SBd^-N?Cf}v<cE?xOm-!XGaXKGQirwpC
zx{Jcb#l=>uwtx0)>*#d;K8Rif|2q63c!Mqo4nNKFX>odq`d^;j279wvJ{5%qTZhH@
z^<-SkMU^L`vts^h{BPMVUw-&1ID4O;{fQ3zI0nDqGCw_sbU}W8kq49CgD=i5|NLU>
zWqvU(Gz)xvG|ex-V)y$%Fqlur7Z>?d>OdU>DeO1X$<6ibMetwn{NL6dM7^DjXTeY7
z{NsyYb~*VtI{mQqZvH->29P+|n@-0cP@NypIjR@M|8OS^!>#RdZEXfgg*!2FJr9oF
zpU(2&<o)<}sLH|>?ZiEiYyarzIM_S?<7PG&T~*3QCH@Glp3YCvj<=;8;$sLc54XM!
zj`P26#-cG?vGq5?oeU*z*VK*JhC73-4_+sir)PgwGg2k?(k=O&{mTh59*<GiL(y@S
zm#T++^gK8~+vfFk7P&<Jp5p&JIGNl`<)9{|QcA`O+7|iyD*xAk)tI`v%KV|`zrE4x
zd7z59W8wx!<AQ$-?o`uJp0_#afw;4uUtWsbcY1K$nOfc19>1$9#wkN`kawsU`<UM$
z8mU$zamSFlHp|-Vxq7G*mXqHhn$qoUOKscQj7J!-R!~kstl#kYE-2@r8i>q9BUPQQ
z?qJ09QjpX)%&_c##|x1h>WVozc=hV|+wXslz)5PjVD4GW_iicTn;)dSuMS7=UcF65
z(pZjSJaCJNlT2hrfkc5!vIIA&Hj>4D9F9u7cK4PQ^ZM;iKgUukR+~n}{341`jiw|>
zS#3(iWIbJ*BoQ4VE0zw2AWOB0s%ukM%>JA2WJw`7nZ6M%b?;(iZB*Yl4h*8+1#U5E
zkNReinc8IOfYqkVLN>ViXH=k^=Pn?hE{YVj5xp%`0Jd6EAYt51F>ENY;kPytHcdL!
zxFG_F+9QCdLjX*3(EaO00Ff60$kY(+5Wv!r)gb`eJlrr5P3glU0PbZ70l=`V8vzKP
zK+cR6vjPG1w9gdaqJ#j3;+{kRn!@-`Q)~Y30*wF??K2IpMFe0TfhC;T%O79;9G87&
zeD7iwe5N+}kh)w<nu%_Z&TJ?OmSmRjzES?rvJiRnh5Xz#+dK<CGZIir`ApS(e~-^h
zl+QfL=Qr2EzL@{2*{_%qkaA#p3mtT(&g{`a@a1pKr_P~toM3SOsWWEYG5%UjpL>{q
zs=8ATmQ&!`D0-aJ=QQ=1KKFV)&U5%goF^uRB4#7-;0tBstUb4Oza>?2p?3Pb!HKi9
zL~{C9(op?C-X$8TnISV$Tm5qFbqg&v6u(0>rGD-ag4E4cZ8ezGrP=DR%2&Z;2$#5o
zwV4MalbLLJvKDU8uvXK>p>}=39k{IH0Zp)o60Wb$oyA3x4o0IW3A5do%USQeJ%}-P
zmzj*z;OE2o7tXpENiKquybz&rSVu!O85E+bnHx&!_xO|@QYVl)9i*<*i>%*D-fvJ4
zYirpGt66KQmB%bb11&E}?Xr&gBy~R)Ggw^V&M@MWSq(D=Bk<zTVv)6&i>pR*rS@Yj
z;e^ubV$?55?Xr%vte6}3RA>QGXQ`-48H2&i9)OoozD3q9?n3ZaHbX6IJ5<XfzVT<W
ze4CzQ`9w|8kR~c?L**N={8VYFbAgSPT5B&Oq~dX_;Hc)W6Q$x>x!65_!>;?E)PPda
z`9xD{@8F?TO*fPYA-FLonS_W$i&S=tyaSV~Y)yr#!-OyJtJ80Ea}_@;d}Do<Uqt`P
z_)+SCg_C+Lj7Lu%3rD@d<HW*Q=7EKWSj6#IO3I$dQqlup;nk*58nDV0q(%!!+R%`_
zk=*4!c85r$jg6(XD9p+bNof<Sxk};^OqFr_viVd97}ewEm@_sgm7yC?#=yUZ@e=qe
z7!xqH6mF)25{_i(cL`&IP?^N6h-Hj{A`Rn3eCjZ+LB1SAy2y74V}njzi5*B?!&phY
z67~wl)u=ZXAtTa?izGoC4+q0=cTWbD>KMP<d!0lA_PP>t%v;CUZR5=98mPO#VH^z<
zItMt{ZX4CevZ|S!^q9Q!%8aY@7~E<Y+hJ)(Z!3zd+vkLKsm{=RahcdgJ=k4`9oMCa
z5{X3G*m^TfAd=E1Hk(9prGp|mz?9GWDL$&;BEHWpHH#v+Be8~^6}_!COPJehxJ;U~
zUnWI!bQ`6FlB;XY%cQ;73*8Qt<Jh!O>o!Vt8>L9mowQ^P?K5U(xfdt{*Lpi^S%F$o
zjDZ!%WFoDe#Q+~W7m87MOM&H3$BG3Ac8iJoW|>q|C|m8&Ata~cmp#2qYIyNj=3+6m
z%cS=%M#eQ&ZPXg4Hu<tO-D1)qp}avVIs})9Yl`hZRGTsj)@-KH%6S%+Nka<y4ti?A
zR21{@TZ{S)eP-C+XJWF~`An-jYxbGR(8FiOQQY}V5A0c*JE%@GGYH*>b|N8fRECCx
z@svCFyUoR_)s{Fj-K<EY6;`S1%%H!_F4NpZMUm{+)i?P>#Xc)LGrjiYS<DKbxnzA+
zE=mg55^GBM%)VF+ATOhRW?%bE!)wuJnn(Dy>&)y*+Wm`J@R{1=%hq&@N%e*zEZ8Mx
zaWqSLZInN>ENl;m-sP^@=2`TaYPCcZV_oyZ`^<sIa?0|pMJKQ2TQyBz%aNW_?oN8d
zn~TEX<6KZlhaL+m2pxL3&m`9Gw*1qloDZ;|vR(3_#(QqgZECkc-ou(4eurqJjiYiF
zLGXwc(Udj`(5;l&byQGD=0RM4hzhoFfwi1HmsKE^T}`nRZuXoAy1T2$$gC!Z+|ilk
z56PK1I=yoVocnSg3HejT*$w(*-GE(Qdx{2fqY>lG_7oYJ7nf|z%1TLQwzN{qS;<_t
z0^)M6TwBTHbe3c$-GHBhGlI-@oa?qOu*DV5*($po6zwRo8=JPV-Od~fw8eOUPRI*s
zW5dX`foMvbm_w3D2u8F>odt^|;=q$Bdc&PLoSzlGwNlF^i=hXURBuXD?o!7i0VP{(
zQ^)v+1s5D1F_erR>1*Dp*kxb+3cDOSQZswl&AxhXP8vqaG;i9>ZF=?MA)ur)_Q*ew
zJ&-ML(53E&&4EnnXqjpm98vfKhej@c!|GO9eOfd|{0`BSdfD4@ZR!xC%|~@b>SEE<
zL0_&JwqFN@Z`Ku42|mij@GT>(n2OkpDn?<+guN>578Cc?yS_lFni5!2l7yagY^LVL
z@48}2Z)BTY&i5~d#b#7(>R<ehLV6!7k=yst;E11;ck=f~qqsjz5L<FD^o;_Bi^bFd
zaGzoTW}V9vQ{Y8>el4JJxsOLwA(gLCATM^!WPH{GoS%+HX)hhf9sVvi19Qv8ynT0E
z`Hq55F%`8@Do~74UOY6tRE*v^k4cc+I4^7C#?45fm8UOp)8l5b7+0a~<fx_?Ya$#o
zv#t+hp*QW?Is|V~vCtHZDtRIGcQjfTNF^v0x<E9g_6{D}z;*k*^@%*Jf{ZO20!<M-
z<7b6$r`}L%iQu#L2>!4Yg8wH3gCiVu^e7K%>iZnjgz$_Hf=3<YaND$*dkP4iT!J=H
z2Ci=or|Xi)CTi1&gx?_=X=96N%?dX)L{r+tcCM7UgpB2xHDxQR7DrLRI?U~E?x|c4
zP3t(f4h9bfe4`Bu+2R#bBeTMy_Y4Nta&8?A&iWjFGH-QqXk}gu2G?OU77XT`t>Rb(
zYe&%-+_b&wVA=YO4A%ytDQ#j}$nXghL$pYpz-pRRO|?~c(hN?8{>n$G*eM9x3eNdi
z;ae-Ugk@3afy<OFtc<MU(K_CFY;<(yL!JK-#gB1`KH3Q(*Xp<`P9yL@Wj!D~={GlR
z<vLW>WM`YUHDq#RPsxA$4$(;e`KYWXk1pH<Dub%Sc2{s#sEo()ICgUJ9_<rzHl_`u
zrLR8LajuIHQW+w2SI601?vAWtfLaU+s@-5XbkZK6m8INS0ZH~oqpUxScVCKDGI78K
z&hK8wFJFq63E2*M2<pSxMUqKbW|y<sX0A?EL1t<As(TQ}XFz5ODwa9BJ!oBR4d->a
zTI;AkM<hvg*2bw~23tNmqtVu1&0Z%$3+N&eX=AI%RDnoJTcSuNoeLs+mFEFh&Pv(d
zTz4=s49VG9(XDDWpwP1lLh6IpmmVRvd~v=VgamKAAn~}D=F{wP2KI1D`DzH6gg#62
z{qTvrBmpzA@gw9`n?-5A*7$OSwlrjL$UNG4thhr@kv2A#)|7BVLo}sL?B+_D-M}6c
zvH~Vl&ciw9%7Bw6=f%JtKhM<VoaoaL0GjkmZtwtE$OC&UNXDU!3hdQ$w$P@Av+V@i
zS?ws&=S@!^yC9hzr0W{6TpNg{w8=0fBT|yDgl{Ti<CTNcD@fL3{H*Y;m0BSjeX#se
zgtOvJ7A#)2{ehW=zcBMqVX`=SjA1gE)MVg+aq#069$~V6_CyX(=rE4JI!^%O$kWQp
z!7OEqP{F#zOBab$E?z>_E6|hL2Mx|Vn6+e&VAYSqU_e%LRttfCoGr#-KRd%YugeKo
z7t&!Iuj8Q5w+-Ii)}TQrVsM&^Xrzq?EY}92Dcu;x={vuIL}0#haC%`J&d&;O6|@Ou
zqBzTb2w*y!7o*v2aVCH5t|FGRkfB*b$7O?H*JF?CL{ahx<2s0tr?C&_K~!q>D;I+-
z>6QllhZcDWvRce$!lF4Fw@h?L<kcrfH!_~eRO%9K!SXiyNF-8!L#k@7%}vSbu#ZGi
z>Sa`o+!Z*5ghd`Ak-Bjhs3sl~`dP3Zi`!sTf%uMD3t|!Td-3Lx9#inIIFzBpJ5I&H
zmtuzqQDOlr<&i1#b+WEJ)?*%4@MaiDmr~+wJHuapSLG!A{;VQBvQen!q>gnXdo2rE
zW@re4N~F}i)p9H|B_Wd1=7YFeQa1!NaDG<NC_0UT#nD?DT2}qpLKkOhtFcO{J)Rq&
zq)&-I&d(+v^672ek0w>1Btn3Abo^FzaFcgZ7h3A?MoFeARGr-a!m17)<Dv2R#pA>e
zCHWkynPuGuDvwcQviKTPC{q<o5^lEX0b$xUF%u;;mVYD~X-ng(>&q<>(UdkfvU;GA
zw7eF$=fWB2C#qNtl`5m|hPi=C_<qe{nJbuAV<r4H9y92Yc`R{}0!!+3OaK~;QYLwY
z4aHL(=rWe^SIN9G$|aFlC3D*$MoZfhr01U%T%t;A&GgvxBUIu3<5~09iO>Uc5slP;
z2x|=>MW9q@0nwD&J9uaZR{NLwvSHP+9F;@VD`>>|S>f9$w!uRBC~*S-8vTG|hlPyR
zDgrjICyw&iKRP-N_Rjyfna!{AVqVH6Z84%eKNe^CbbdN6=C`FB;-lYjsT~$FO{d(Z
za+I(f8{Y{eY>V;BB!#h<xUn*1)Xa9OqO_SLYpKiUABjflZ%CDS(dMRPb@)f3DfP0d
zM)KuRBjXMr`P0TiSWzGwDk`m-rNctk6WGMsbBE+BTWXkhKOQK|ObHU`AxR^XQjv>j
zO6?sy+&mU)zJRt-EToT!UxkI1zf4EtxQh#ESJYvlI{YUZh#9e`@M2wSDAZ^csWeUF
z9Gz(GGZ+G&=?T)c10k}clzLfIBlmI~#N=K(4BBKwNbtT#KnQk~jAtzfGNCLcH!GzS
zY_gqEa*ZuM64d{k!a-DLt%zoBV<$FiSuxY>nYxz;F&_DkT;2Pm1eC5H{&0b%J(rdS
zLzSZyobg}G<;qy&SHL(sR+|>eEGDjMgS0;Ce--vozlK+NkN_Uh@a?dVR@@Hzbl8V%
z7>vT<`9-c#NY#>9hkbNgkwL{rB9T62?8A(wsB&chsKY+F8A~A8%xRP1pAP$|QOKt#
pG$`Hisd_|?*jntP@PpBCIzy>Owb`zq&0wFc{{vLsmOM6|005_3HirNJ

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute_default.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..bc482eb1ae1b69fdc8c22c286933d11929a34697
GIT binary patch
literal 2806
zcmV<S3JLWeiwFP!000001MOT}bKABOe%G(Sj31hgM;33QNYC`a*Vfb2cI>p1PUn=P
zBQrJ|nNlUniRbA2_wE8%TqrRnB_;MbkseHlfOZ$aV!sbq(mOkAeOfz={g~$Iq+g^r
z?B9?3jDL>$H)%dd*;$^C9%fVKMtiQ)9y^YgxcHNcYuQjV$G@+$eD;ZbiozreUi~zh
z7VPYpjR%j@!2RCVGRozT-_BBYF(?>|nCtC#qkS)Ap5w;Y{5YLvx71K*8I117MLL^c
z_0j15zMtQiRutKNDt^V<W6*y!niVN)!{6g<!aC`v@n}+Xiu-ZreKwk;d6DI#&gEqE
zS2`%BonlsuCRsX6^4Wbl$^M?D9n#my?#ILQ9)8g;vQgd{=aUX7%ktaST4TSn)4J>z
zcg)>qznr{fuVD(<$)_Tn<ozM^f7pLy2h(XfL8Yv9lHZI+Szch5N68>B{_y_A$K}<-
z_iS*N4nDvHe#}4~8>ammu#TlSw<#OFXWtEmAHHiHrngxxMZnLKNqP$+I&T;XC??tM
zZ93sTP$hsH`{QIZ8&CJye{RzE{n@biZ|eZeeVk@f_FI;I+-K9_=ws4<Xk8R{>4bsR
z>|ip<9-vEaVS>a=;$LUaahz7W++*E<mz+HhTJ5sSyZ$s~*LT@_aF>OTyXSSW)zRhU
z6+5{3Yc?%#x=QUx<FDY^lcEn}`B-X!Umfstr}YE7O8=f^I3Tv!@)yot3>w=NeIv2X
zUM~(o*7dMI_^@1%(AW)H{5MC#5j4EYpsy!5c}qW{AG~Up9mCkB)qTcR*uE>|@3QOB
zY{C~dIhJrVLs1*shob!tOnXgLUwL~+%5Q(s&n^>c=7zcKGRw`cY%Ye5+MK842YBZw
z9S*VeTrRM=>eXD&_<WffO9z+0?~oc@ZQh|WT%{Y~9aWUQ`P`W8ib{DgTAFvLOxJc>
zm)g2FSptDT8)}xotUuxVoR!;953n(n;VLFw+<_I-4WLMKV+5C@pG_rPLY129<I~ft
zpWeK4K@#_H6kb!!8(Rzh@`2lXdXik6p8E+8n284!wi?fmu`wv55)}Q|hnv(JF5<{@
zl9H^>!ROSRo&WaE<5n5HSxL?Bs74H$uplscQ&JOmWp8{RCxmw_h$1MKdgCtdO_iFX
z*FSSj4oFgSgCo^g4eyPZ8w<q1)H$=&1YMe&UaWc(2R)-VWf6S9#UJGY<u-FhxQ;5Z
zH#pnq0uZZt35pQhl^SCy8Ov{DMTlt}SiKclfV(~maJMYL@El&;Gz)NRv4B`C(=7}5
z?CRRG06jcraH29@zdj2vk%m|R1eZ;-0K^3XG(*i3ETAiK6A4C%1w?p{vjABF2nYfV
z0dpo<fG=@V$y#IqstQB`pdJ2t`pzrkrq@`_0&dE%4_24e1Tjtn2eb$k^J46qb0aWO
zi@-MW1MN+C)@>GW(?vQZa8nH5^WdhxB5wNY<EFpGO>!H$ZWuSaULD+wqb+V~NT@7r
zaZ?YC#z>8l`kpR*mEAKB*kwS2;L-p$)#e#uvf81QcF$F6p1@5<;wEmBY&Bk}a8s5L
zH~F@WdLwbOD{)iFTEtCN1!^jBv$2{5+>~J-;#FBq5aHI616m*u6ag*3Jt6f*;HDNq
zQIAWra8tKgz)eSNW?{eC6$tt~xEZX7o6h>U>1=V+@El&;FmC!$4czoxZ;P865-Lku
z+|)y3Afq~C*7tPjYv3mMuMwMRBM8A|v$$EQ<_X-4ByQp!tc06gI&$=632`&T!&^cc
ziJPIsO(km)H&qq<x)L`Vt69KJ8TL8S*=hp0%LG94MZY+1n!ORYsYMvkue9IPZ5DBp
z4!3)JFAFlB<(#%FaPvAXW@C1Qc~_Bj#WWQ8Af#2n2d$K?QFY8-{n<Qan<c5vbD@Ce
zN!fNG=_&edOrlkUT#D<y%#>{q)JWNeLAMTg#w#Dzp5^0NA@h&c$muU4?ajY8P4U)A
z*}}^4C?yQZNPJcRa}fI?`WR7M2mTdGCEV0`TAiWA<ScUT_0qB^0Y3J{yoFUN>1q^Z
zv@Q2d4uE~(jc91-syB~Nt)sWKR=Hu6^@KGn%`Dp|=0wywdtJUuAT+r3gI<!jz7s?I
zUzB%ne(XWsl-H=uUrl+xoAUAmq(DmClII3^-ip!I*Dw<DqG6v8_65D5bGSs_IT!eP
z!M-~3q<!K{GEaJFpDoV~X+za@#SRWkjd+pllW5vYK!Ay$QXb|u`S5{kbNuSb<4`H)
zH%~&e&z9$Uw6BE7!bcL6!~q&j_F3Ry%&(MZpH{<TGhB+ZS0PV3XW6lveW&&NrPEP0
zBbIuIML${e6@!)?v-kw86|_phsv;=V4_c*QW%>Y>=~@}I%%?-ZAc|RdyTU%cT!d4@
zVUx%6^h=x?$k2kkDyN2N=Gsc~wDHZHxZE0?ny1^t8Z=HE!7Zh6Q1yRL<J@lVWzsmH
zUQa)H7shpHobRms<lVPH;|K&~08k{(j)zz)!{JI#)=BC11!StY12wD0LL+iek*<}I
z(Aa93k;`Up(pqjKC)C1PByY%=C=S}>4Sqy%l}l4x%cdSc&!3bA)JRDyGdGl0LEOv-
zsK7RZxRs?To@Lu+(Y6X{!nWnCjoY@Aw0W3M(BtL<>5Y1k(>dS=<(9OIgEQYn)@Ivg
zQno5-_PE6;!jk6p6k(GO)FEMu%{e8)#$mvm1ujjU_Sm*TM8c;0+`^Eiow1BnO}^7Q
z{g%iY^_dSU(+>jMv5AlQ=7N)$LY&5pL??BM3X@HqKv#VSD)qv!AJJ8dR@|0jQL?Vg
z(<*#<8BeQlW{ak2aoY4I&b!c-SX!?JOZ)s`+w~cLzoF?167~-Mg&(&$(aYp%K~#gM
zLBy_c+!pxq4TI+K?c3mK?Rvow!@~ymtwyv_aS`rqfU4CGhPAkwX&nSZgI<L`qOv9(
z=1CiLWjR@cUzi6)b;Q}MqwYCdFEY=GYSOimbp~In6ep~+7?xsxT-?%AwwOOCL1Rax
z2m&%hT^Kt{T-AQxU~Hzi%>fBQA!{Bsn!Q-HJ;Ty$2*;ZO!y3UrMF3<6<PLPzcc4-)
z-@4Suw9n>7foFyT!=;yTqbi>^$dM^e8^1t!8r>jv6xCoy;_>UHXIM~meX@Qol1q5G
z$w(L8z<iPKkA`*LABEUmgB^uVPXyuSVQ$Ng@I6}yQyWP|%CKi&Fdmu#=YNSEMXr4$
zvnD&L6t@~XibG6=mHCH=YcL0tl;dQpDpnip$P~AsSWT?F`NG`t5A_DVo?^a~)SuEa
zlV5o?mEpSTa#NfGv6<X~uKEsCYWvpbAE9F|IN~1y#i28_9T7RXDxWs^hbd1R--vE3
zG$#HL*We%GalEDc1FANWLwmOWUQy>!DA#+5XEW}e19m-~L-V6LXER~ozA*M7b9yy5
zWncbLC_(`lm7Y$b0ji1r%hBlnQ%LdlWmDnM3?5XbYh^Snoy~{?0e-eBjVxPdpUp(p
z+ZPLoG)tUHq`}x#oXu2<vrj*koXt4uKPz}Va|34MGdyOf2J5;>5{Hr3Iph!M(CLQ|
zcX8$&9%90(WL*t$HaGH-OCH$b)SD}?V4)U1e1$`?%#C==#U{j!xHxsj4>ji4RfyBm
zz5oqPZqTaumbel1S-O8kB;a$nO<9>V#y8)?B3dNKh4N;~fGKyjy}3f%=DfM}54sN!
IeT_{30E<F^0RR91

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_postRoute_reg2reg.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..dd8b433c16b0dffc2af762845fe6385f8c91edf5
GIT binary patch
literal 3892
zcmV-456kc$iwFP!000001MOXHbK5o&{_bCanS5wE8CxVpNu+1`!LgGzb3Hq?d!6fK
zT8++T9kn*)>LqRFeE<C(yezSxNC_sTt1gjdY%BqCu>cki9xg%Y*|S!kgJ(i~o#okd
zG|z6tzdjxd^*J5gWchU_-sJh@!(t}F<R}adAA%qnhVqh&D|4bgUH<=eoG<<qe<uB5
zKYsqrWHuLX&cws@$5|YH>DDsI&Bfm@GI4P|7a|d1blgjhqrQlOFqNmDWwY@uov3TM
zp4>mov&B>vpHA-YNBIr6Vm`jl^i|^U0rg)_7V}IT;{VxrDmvMp50mM<Grxc6{4$<Q
zvwS|zC!M#`$*<Y<eAbyS=9B3-yBp?<`)oS?eUWvjzRvjm;V!$!6{Gohl6M~RX$O^!
z^V@?~egEv)!Q0XNy$FxR-(P(%uCn>!L7cwNu74AMjFJC#oQvyxKAdK^@1mbNr+*U%
zukxFR$vB_OcC(^0@%-m6#kIT?qo^(xciHF$CW`FlHWQOy#243hzkPA=GP@n;Mg=Jd
ze(HRu6wIgN+uLla96{75P!@kZoh%+^$Ku~GEyCY_4o>pX-N)H@CVm)ae;kY1-Q<tq
z=)=Lq{CzeRuv(l<r{fQ!JMle6Ne`#|9~=ci@8Hn1-R8Sea1@2%!3**B{b-hntM}ty
z;4@2~@F?m9IP3K7+e>kB^Xp<Zmt$5sPu3Wq#(%)Qr}Gi|@?+@?c^JUmgM+WcW%m1G
zEIY(C+guSGrEv^h)omlS!O@`CgRZN)5k|IBk*+aG57afMcN3g=IYwJw$-%4q=ys^0
z7vc<ko7J~jo~3)*oPRZ0Ox5h3p%38Fm~D3>rymaG`F(BASHgNtU0c=pfj%Eil#VAo
z<yhyuINbQ>h0v-WRg=_Q(bA*tG?OpRGx7kLbDG`V$<rQ9iyw>jJle5-bX9A$`YI)p
z>ZJmw6la;Smgg6uvAWzJriYe0isz6_>EWT34z1PWA*Q-x+|M|A6s3IR*bm7_^-$_k
z%!4F_NPW#918^kIsZ)LS`t{{E-~BU`Cn;Z*Cwr>?PMK>|zkW5mczvD>Cqr3z05z`a
zXdn%g4o(upxSt@CtiJ8WgW)jj25INzYSky_XOXnovD#Dhk6d*=R9d7a^?{=~s>38U
zR>%FWl2A*(Cq-s;7gooE*tL3D^|{jhEh=Jtt$Ja-YGd^Wb#Xo%Xmic~N8zdt`($-L
z8j#gVC`Gbb4cBQj7(!R)M4nTp`px+dx~of8`>Fm>s@Bez5BnvnUDctnRROWQOgamY
z8zNavevM`J48<!{Ulrcx_BAlRN;R9H<tg9vn2>MV%Y+Z?qa)=4uDai@^;<xGYP1?X
zVd37Qy#jh!+zR83ev{}CRjpG_p(K<QXwF3AN~KXX<4<ltsXU8hN^K4bt!uidjmkOV
zlAY2pl4YufMdbi;HP%$PIv{*WU!8wrn5*<z<4X;H;vvRYG0Vt<3wP_eFp55TE*$m-
zyUB&q)PoBrfC~>UoW|N;w+(VH#Q!}PUJs25wknBmBOYvkhVVvdSN~WJiAWoRrG*fN
zG9*&k1U1)LT%xII6;r@X^D=yL#Z^4PQ!)52`c32f3i>Mv2ytF3Au}G7cqC)LD-;(D
z)nztR#VW;Mk%i)Ae(ET$VZNM0g0C~?yFzinPF;%~N?oH^TU^IY9mUnGHww{YT2ybl
zUG#A>NP^BuB)g(c@x{rTZdkf2LdSB>Tc_CV<J9^Zq(|W>4hNc@2S<QgX^vvNY1N&a
zS~2A*#HGuMtNa)i*C@8r($3yi5~16+7lK`4yVPbia9(706F6>alg>gS(#Gh`Jb^??
zn_xDX<XQ(ubciXx>!*7<O&4*!OPp)`x<;Kfy{$JZn%niDxvN9IS8v4g4=rQ9yB_on
zlHDY_apFOA0qGt@w;P$D>9+Ch6Ck>W>v2(n*Cs>026Y(ts2VIn%0nte+88Y@s&J$s
znbIb}X$)p|mTY!ODI5|FB3?rn>d$s@aZR|lR<kGFRho&6I()b1FdH}8i$SR)(giK$
zHp6<V17bhmy}>lQWUN7~cc22m)Xz_6yd|3%<*n7MZLVXc1?5$mD>-i(SFNvDr?42I
zpX)T&g_IcS<(jRt+ey(*BHY+E#_ke_^Z?6!#MEG;1aOB)c0+mBM3a+1P!qBOP;_AS
zQPjCNW0G9orraA&t*B(|K_$cbh$)IBm29zn0Nnxvml}3?|A5>Xu#5W#<nD)c`v-d1
zWw1VG8V8~F*lokyr$8m01%=iJi37z)?!Mt4`=m+bR)$jn8hR(i)Akj()u<!Kl%*Uh
zMe5Hu-6rd!WiaA7Bva}|KY3#$E8utCwv1XJb4W(&a?#jfUoH$=Ra!$`HI?XGu7-#5
zST%q(=To#s2W7ivPl62ixz$AdzG@Y?q>&_-R3a@Z<~eyVEa}qE%sFM{)yN0ik+O-=
zY)M(4T#b5ut{I!QAZD#`s|f=Y(nK{~wXFj6<57BfhedxljQU9z_PZZ+T3t1D1l*?@
zkXh$bRFe;N2T|$L&I=V^l}(7%))t(HXl7dC`SEZV_u_%tc|n?Fx}uu%i_6NrBR<ts
zv_`u?K8$(wsJ~Q=*>(^dVUbWiht{NV6@AeweMwsp_AOWAIyCmo8HcW^#zKSxGn+af
zgx>bjwrB7bj*Yu3hk@JsFEqv%$R#M1zCbdiHV1{4akt;|&`oQm!16=8GI(2G=M0|d
zv&Oe`Z({Jh_6+`2D+aGN0Pi@157Yi`GWfpSO}vi5BRu27;L%1k-L?&Lp8|uYB>~VR
zKLuUi9#1zlnM7Bs{_`A?kv2w53o9IINT#$2c3Pv!PMJe8(h@UHC66V_O3Zi@!Qi+~
zbL(L6V8Cr=AzN(yMFTmr=9`M^xF`z-*J^GZ3{Lx;eo{Y6!uHDAyu7cXj-#<)FxPAy
z$2wR$iRR$8@oG=Y>?AEs*v25ky@6y(n?MVJNXb6jjxZV(r&YJwIy_lS&L;#`xjt)r
zYo}I$)xHNU(@<FXS{8~nu@x21_;)v~8b-VLgg!h9V3!XmOFT$f4+Ky8wAePt?MYda
z;HiNfjy`I%3Q{AFs1#{qytJUgfreyCn;@sQm)pr@*zA(BUax8&-zG>ITpe9iLH9H%
z<6%5bor;}QxEP^G^%9c|s*waS30Lk&t<zjf%1j-rG`ml`L)*!amSckQ!M3!yo5%%9
ziD}H&DiF!uaG3U!sPj_A!nDoHr0nG4P4x1m+~etnXni!hM6xUGC_b>+)tt~CPyMnR
zPE^2$YAZ$%Z#AJ=6jLl~c1O?#Kx#BwXSb80o%A^pNouolx5^vX@&o!tPnV2(lW6DG
zPQ|hsqat$!5-Dv0g=7*8BvGaghwSyd_v53(=rvS#GBQfa)mhV9xidyqG01@jgVfx&
zl0l-V9fO2|oqw@EPIoh`hnL^iGste>^I*OoM0*D5JE7L2q5`iCK7KbqLwKXHQU2#S
zBqME%m9`5w&X7!L6WCm9v&$gikZ4?)B~;#pbIq0ECQr@FVZEMt-Yj{NtGR35Spgdh
z>@&@PhxJ&9j58bkB)eX-Wi~aM?I75}YA2CDZ~N|XA0pfQgyr5qGNnzXDS-$F7n$eM
zo65*|<>V|+9P8ek-!OgF_|{IX2u|WbaMrxWf+v?PUSlzP4|h697KOVQB*QL|?!bfM
zMBUUQNY+o2J;m{zQ?w))IM_eAR3xMP{Y2;;9j<UUgVdsYiHM{%uPQ9>|9C3Jsnfh1
zB;z~xT#AEPrRXJsWJbawfC*Va%K&luDIgD$)oZqEK#k^2tpV2C$)EQW2d-qdAC#jv
zC~B}d*nosdl+%#Ivb@IkB-|TFrgUo*r=Rj@FmZWJ6iU<Hi{fy7)_CinO(ZzAqB!Xa
ziZh+f^Wp4ceyzTuQByQ0lCyL|vv`iH29f?<kLrYBcL$?72#?2+59L8jYW?dLgS5M+
zJpMC_76}3^7VvgZ69+|XN1kiG&8rc1YM?YV+KN>+J0uaQKaT2_8?-5b4m%`~QZGy`
z?5-d{WGv1h5vfal;F>6;_|6h~)KZ}8aQTyT^EgjY@w?zBx%lx=d=wW1$Vl?(Rm|g3
z#pQ&qJknDXR_JCFs9Luj41fP!cP9DwXGMZkr%=nu9qVRxnGbDlO52+GoM<_hnUj!6
zY4b^3ZK)do8YKMIrEqkb1<SLyG_|bzvnUW(YMZgnsXfYxCxw9~N|)L7<U=<7SocNN
z6)cGeAc`)}HTE@mA9bmx{%V#~bcJq{`(L8!U>Cp04_~9*^<znq2TMxR{q8A`SyY_j
z3#M?UDw-shS@l)k4PHPs*u)@8dMrOA8EH#!HSOg{L^7q#LDoz(vX-|3c`luSf1-)i
zRH-uNUMMf<46c^}e6=a)Ny@9aQs{6cr94u+NNuSy637x}DYLu+Ls4oXXazF9Q_9^?
zlwB^Unv!xmAcdE<7s$^)E4oCDHlA4#_$_lJjA4WMZ1kH%`a$s_8L9siHXcBZK&kWs
zk}0)0D6|vHjp3qlerH2ANh3*?sq<JYO*Z>NAJ=D%Z|B$r7c#%-Q4oMeKkBjPLWS3A
z;eY=FAA2rT41r$R^g;>b*!~ZE07;5<nXD)f6B#zpj)OfHqAn_7tH~x<m4_rFeG*++
zzVR%S?<N<*0|mlR*=W7w?75Ko^{3i5mer!Gr}_Q|9pr2&Q3Asih%!(r&mx&pn}fpb
zbD`$X&$h~i%r82u<3g+7q@#Jfj|&;h-E*P3A9#};#6av*c&n~86>4-BsXfiG1tJZ`
z{n#OiNd509HK-b(w0Nnq*&&IPdLe3IH^0YRY4^ry&^9ANQa43vtW=8GJQlwW30x)c
ztR+DOePedBT1vX@VCYfY#DAjtpHn!9+H59Jac^U9Y|7D~M$_1+XVcWo1%4yoKXc9K
zlM_%1Cm@khn}foq(;sfIM<l57{a17tf9PyOo$Sfj7RXN#2QpvtzmEHK>%X2AMKpc)
z+{ZZXp8M>%4`CQUO#Mc#MvJO2P$SUP>PygR>Lg&oA&E%+5mc|21|=<6s%&;hBBfq%
zTG+kkKKRjW2A+HFBX;Qxu|4<E=)tEcfr*PNK2O0kf57#wy1$D19Q+s3%<or}fB*oM
C*_0Ik

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_prePlace.summary.gz b/compteur/implementation/pnr/reports/timing/compteur_prePlace.summary.gz
new file mode 100644
index 0000000000000000000000000000000000000000..54fce7f63f84d1106ae7d3cc1e2c02eade3f5944
GIT binary patch
literal 395
zcmV;60d)Q!iwFP!00000|D{u5Yuhjo{qA3J5C#n)k>q7<>TjiiHc;9?%JwmM_BB(n
zPO5w=3G~NLv6Hp#!amFo3{Ow@c)pV~81zCi0JuRypEH*5bC*Gb>%2rN5EexCHPoPH
z6D7w^%5<&vmWS1$@Ev!W!WOo(^Y!`k?AF!{i#fPrS5MWjn_}r;ehdf?1p~}LrP;TO
zOi4(ky4;t~vDO>vq!iXT#^Cqc*VdSv%8&c34Yn>AJCDmMj}44%;JNl7aO<pR!N!Rv
zZ9NievSR7&GZtJ64s5-~YE8kQ*DnFZF-~aXDm2lNv$iB0c@eWTZIXVoA0)}R2a=Pm
zuR<J*-+exv4*JC=qf_$e-z<4+l2^#Vfw3hv%e|OaRl_`P()dMI;!_?fhPOUREq>gu
z;Ed{F7DYKpm1;8OWGd4GYqgH)v15`_9!fv6*7RN5DqalQz%u7wb=HXT*xg_3W71V@
p{oSN4j-~4BAJb)P`maGGbEH~xeACSzf9ZGZzX6GGT6Jjz0065)#i0NI

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_prePlace_all.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..b4514f8a0fbcd095bcac863e2eb648719b14088c
GIT binary patch
literal 3932
zcmV-i52NrOiwFP!000001MOXHZ`(!^{_bBvARpQyu*El#l7W73?4%8v%X^Cy#Z_=^
z#?i%=TuF9fobSKi%<K;LMN4T-Qo8s|0XA18^>Da5^X$Xf)#}lsMz5VmLGV*CDQ3ru
z;xzc{W<Q|U;qhrPIVpnYlgae@Y92&`y(rwh48wR7;YVJ*lP8MP@&AkQ<mzkib&!p+
z^!uNu^F{FdD7ZYinWxbY=2fN>`Qi6hMeyom5d?!Eiue2ZeiQ|97!C3CqhdZj;}gYI
zPNo-^i{fgAhYzP07sr!R-HOHdq7a`7b}whe>+@r{f!*oV;%Gbzdd1hv>1@$kTwL}(
zj;FI?vKUXMz1OqpzlxK^ytlYoOlRZbd^EYbC}!iouZkXDt~b88JTETb6UU43bke(=
z%zALG@#Ji$QPn?swDbCS@hOP*gMU1IAH0AT2%dgj6tl_kIo$sF@l9|rpBFQ{Xt48i
za(X!(PZoH`)6vOf@kjJes+RAse+W)K6(^se{l1I=FE}rbPr<sNI6W(Z>Br!^lk?Bt
z?K~;Y#uEtvA0N$%Gl1y52@qg08=svOGjf3{05bNc+4Smiz90M>F#of20H)r|$MfK~
zaq(q8n4eF-jE=8&UM)Tqvj8j(4ra6QHQdg7XdBUp_&?kW!*FNUzFTzymBPIk&UzfY
z{&YMqf_I<BAHh?WUeR9MN337LU1y79sG*ysbMT50VEq`pE&je5<87J-$xnoPL&9=f
z&9LEKo(uu&9XQx$HxQf()<@9}edh3d3MalD!(BYZCUL$*ozS5l1Jza)HOO^H=Re+U
z?e#biCf^DhUymoEa4Rgw-7gDj1}q*H=jV9*R=VqLY3;45>01*L&!?BXgxugRtyq>}
zpKK~TS{9|1)_u#0(CR}g?sA#8yX78$QC5ye;M!!NwCPVWt=wH<rEqFtH?=(!xh}PE
zJNyMtS%Q3b^z7N&pWl3l;3#<l0jZL3CpY99s<~^jaxwx66?U7D5F`?kO8}W>cs;iA
zbapcO>+0h?=|{Z-Jb4N7@Wn4retq^KMkr+)+^qy(3HiH%Ecxp;Fd@^y5YGY}2r()_
z5n>XGY-Rabd7IM8a`$b(yp7eOTUi0A+`;muyT66#A(D)1GJ4#c(c_NM$uZ<Ve@5?n
zF?wIPVaMoLzd93Y@3q}pu{<00l{BsuWqeyfCxcUqQLR|=x7w#l?K65`V<BdA2)8DT
zUIA$_dQ8rI8(55<4yaCner1X1H_@zAjGkMJ&X6Ykf-1WU<PxI;e=Re5E+O*-?-pKe
zMwi@&r-*(>Fgih2GrAK}a{|kq?);V+J*mm)NpnU|Iz}h|m;byOJ<5F;JxMx7KZT%U
zd8Jo%$?zJDYef;w@B!F&FBv`2xsn+j!mWbQONkCQtm{f<P1qS%L0XKSP&LD26RUoL
zjFXblWeFKQcWd<2VswVAWON_MB}NDST4MCP)aa4m_6kOq+=uR=Gde+5GP)ab1B{;5
zWc08(qlX=%>onuf=!qAj=N+RzSVl)e;;xJt9m1^%qgOy$j2>1ndWQ5zJ%cC_qwD=n
zsnN60VswVAW^@n8B}NDST4wZ8qeo~O8SKisNbbW^bVeu0YDRZLZh+DIg3;d<i>u4v
z5HsQ;AC5^(_65<q8_9I-&=KXr>n76$t^ZjknGU)582%b3)WyA%D?0vxXc=T%O{hnE
zVU|L2eqBO6%VG~|Ps4aS)DCJE$4@Yqw=b^Pmb<RcuTy#*W3bKSI>of~2rk36wrba_
zgUh_FlU8S&&_pidR>^GS%`k?8UhHlwYnJGKc!JtRjvf-~z{56PoS`Yi>#_v)Wm&4(
zcb262XcQ&ku=j*+%ESXwKX`c*e?W3nR>2d52j1@{9@UwN7r`iiurJ9=`pt7a-30c<
zqfwIQaqkIbeM<WX@72NcID+8_yp(RA51z1(M-TcgJZTj)YFc(;14?6Y`<^Aqa5PHe
zVb(jKn=$bYUOkUvhkZVHX8jGwJ`*nrMXiN<eKoW(?Cbsq_Cfm&@<C|TpTL9qOI-Em
zgJ;&?kn2ywiz4<vU`}f3fT$LVTz^KlhNp1-2|V-3Q^iLGo(k?er_hWKF#l~jfv!fN
z+b40p2MjQHImSqB4sT<8R9+3Q$VJ$;!QkAsylqI}nspj;>{ju&GvA`|tw}%Zs1}?u
z-SD=%zTs?d=Ak4-=HIC#;SeZE5Q6{5N-~bNJ~bo7X&&p8WIxJ$lw_O=p4>DKbxQKq
z3PKsG9I?E`v$)J#wFeHWo~@jTfn11WsL!Tg_K}D96pe|Xn8vzN<DSx)C(xJ(E~P6W
zrlM7Nb(Q1*MG^PpEhxz<JW~k?wdbQGhk8;3>`OrDrg>^BU22|}q;pp*$tpZk3FR)l
zT<Awsk|Hk+?J>yp_67TJLW_KXgRl}3c+X${Mmo|~x~uWPGVv<?Z!*eY&uw7gWo#cX
z6FMu6f2R737WO~tHt-Zy;sVbc^<}REW$MOL!D9v99pAFb*)&6LQmO6oO;EjiNj0c{
zG4-;^TsC<*au6=VwhghiC6Yva<s?bxga><Sf^t$WS$HivDNVS_Jp>!fb%ivrQ?S9I
zch4IsHmDZhdenS(pGmu|<X;^1x0?Keah;^^A=%P?>Lb|(TbfPVNw#lNvJLcMaIMQ+
z9@<&YMkv{;@GQv&6QyjtnDS_p{3D$~U3%WPB-_A{9NeWt-UjecBJg;<E-B-cc)H|Z
z34>!qOL#P^7wt=uQgRGvU|o}x*=Q7Jg9NRFuF1qJ6&oDV?eoDiB^%B&gPs&Kou~i>
z9?fV#`_fPhJV9|Vz_|~aeJLdW`e`mDCEF+PUcP#(<CU}4eDH*Q+#V^}WZ|Ve90mq}
zQSc%v)9hpa6CDSfV&YXvwhFu!dqXrf=p@_SzkWc?OtnYxKqc6B&m^0Z)P(RUom4zN
z+oZ-qO@%v3HVv;uCnd=?@Q`dmY&s<y974&~;JZ&WT>FhnwkY4aWP>ZN&$7X@v4>>q
z$61$UgD<~5L^gsh6Pn-AW!BHKRpMEa&3C*uw<Slz@!Co}Q*xjVq8T{a_^)%kb}+<*
zmL`cBX4xw7Ov&b*Wg8mf$t;NkF+*>|l1<=MN+OlD)A3sDZKx~nB+)}8A&@X`(h$O;
zjwI6YT69v9L_-frv?h!7v<*pA>-*r_%VKSJ3L8H9`AlJhK@cBFH0-iixE{bmWFwSB
zLsu57fh4NJ6OxF#VCNJznH$0{t*9(fYz^$0lE}m}2MW~#qz|4cIh>M6=7u1Pm6lW3
zAQUx8#F7KEFi&BBDLDjQmE@?vYmv=6$w7;LJj5VJ$>9vj`dJtquSF*%$q|JfnnUoD
zGYtcW+L-F}O)HKl9&UBq3}$l1kw+3H8Tuq)vbdWx|9}+-G{2+AtgkpK@#-p$zBTUC
zRB=?{)m0psHEF&-8V$1nPns_k5hZnS68V!SIPKdSH`5eM6`q+S!qGt(H#6~Qh>^zi
zC^H175LLL__lU+Zcw9>0RZaQ^CIOHoycRnf1i#ngQg@$8)bd0kY9FXX*tRh!#cj*m
zhI1`7>)<hmp9Me+Rq};v+`jZrGkhxGl<9Yz+Gd^(Ei0suT!(Mv_Xk&}Uz$lFz=p;6
zzU?VQkmT6%f_!1_A%)`HXV!O?bjg>8EQJzR@}=f;8L%9<lcVdUC`Oj%t``^Nvq#&(
zU-1+G;Sv;wl=0y49xb_HcI7TSX2?psmbkK<P}_~CJkaDurPI6m^QsISyDRDgEr?ol
zQqiN!br@In=xgSfG#gL>aCk1Nx4`b!22|2?>wS9W)2F8cj{%jeKkVk1Jlp{lXnsc@
zTyuCA`}8V2E4|^G7VKJ&aTvPhz<_<$fQ18(NfP>WwZ5Z{*CJiw)A_xAJTHZbd=T~c
zQa1Qa_f4N5z&^Oj>Ff6C8eWS|D*AM}5~s6IpUoGO(fnp|!i(F(4H&}~ODEKOVmDzR
znfk3iw@1-nt9?A=C6m~vkB2V^tzSq#A8u($x6OU^M^8CfsYGDjlC7Yut+ZdRwNF_;
zUJ$4jZ6Uu46t$sU=36a|=bvO0_a+0~ig)cT7kTTIaTGR|Pt(R%zu8#r7I2qJKSB{)
zN7DyOal1@#;)2*W@Y0;_T;zIep%_FKpuF%Ov{ssd9m{o*aUzCX&X~fd(r(Bw=ox`k
z*qVlLW2?r{J+xVw{rg_$2&fA9PL!v)Bej+FUP@QT0$-80=B|dZsWmzmd0Rgy-Ii+6
z2ts33G*;x{j8IYT%q0s{-9|GP=GGUILoOBjwm6wy7qgqHwFSi;7<xlX;=O$-8o187
zFlC6duK8c<jj}4lJ(>TZO+r}DZ=2%;@FPHxpWcW(^v3Z1f7RG<e_tAdW|TkfV+aoH
z4C&XX?zAL=@CnHZ8ad#8{VkVa+mP1eJ?k96W!~1OH*q^vmTNQzMerXukrVk#!RsSB
z;PXnysM30Hx9WhO3eyw~fyb11r6CAeg4qNRMVjRf_JX5wV57V({2elTe1qv3H4WAU
zyi9P_Acm(ld&7QyuZ0KSgp7+)aH!`3TWvu7)`(AuFE^r%EGLI!{vjz_lpB_}*rHtK
zt=a<zRiGH+9t{%OnZK_pjf0JDvx*JqcFe%j+;=(Tsy&u{*TPfAH+E8?D&%s-Wmj6U
zV)5$niB450x1ds+KL^;UidC*|5f#*a$yF4i^izi-R6A9{UTU$L+|7iBZ@G+HMQ-am
z{$+|Q!OLeT09TNzv>tpDssf0O*-Mdqrz+q_Q?Y;O)*UDAk-aW=zP!a_T;{FX0|z&+
zDr$}~Zdz5yrEAxziq&7~;JEB^6_P_cRe?_e8~RNI3Uv9ep{S_!BqZ8c_PgBumYt_8
z7#*|!Q<1nWNl}WaXt(y3i@f#XveIt-IccTcTJOKU&-W1&o75AC&DjH0vhoP<YrpcR
z%z@FEwkqXBDTGYB`6?E+Y7E^&o0ZwW?{kWR??Hx2srsuk6t%+A3P>$5xd>bTNR+L~
zjmleSPA>9R?SX@L7ZRFvTD)2PzTvmak)|c<Ojq4(aspab<R;{Ls_Rt5>R(ghaO_ls
zB;`&;bSgq)2Y>DoeU9WOMN_m}d&@=MdJ$QtB2e0~iU3Aq+Uit9u*tRAI~4(u2W+5=
qcP0mMgd!7nsZ3p^im3f7I{J`_;tfGKHUC4)?)(?&f`40<iU0sJFsHi!

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_prePlace_default.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..b2e71bccda8e75f38704a5bc6a41cf7ebb408147
GIT binary patch
literal 2523
zcmV<12_*I(iwFP!000001MOQ|bKABOe%G(Sj31hgM-p%1#WQ`7FKInZV<%2K>2yvx
zszlptWKyLlC!VA8-@6N7NsuCK=n|(r5g)`g2!31ui;o`{@WH`WN9TaC@1rEjMtL-0
ze>@y9ew>UZQ8JF$d6J~}i#ap>o@sPvhGB&!-g0pzD~js)`zlTrpV%kg4PEEO59vH-
z=cjBoewaJvx7DYliM;vUB4QWgoH3u7*3rN_GEHU~W`NaCqj`Ko6~$A=>D?@k78zbX
zN$>7P$wc)ckMAOJ7wgWl=yE!O26WR!ei~=2AAOpoS>Dg@X8r3p&7vfaleB-CrGG}_
zeBRF&d78!1G)xwEQ5OHTi2C%netb8ZMt5+>D38;mKTEPcJS$FaI$L%9!9nM8l;1M*
zi2Zc-j=h2rU}vB5C`(3DX#RBcz=rdAl;J~J=Pa4b(m2Vn#c4QB^54w=@NRi=|1BHe
zM&l1Kejj7NW7B9f0q<BexrtbM&Au5=KYY_Uj&9;aLcr}|7To|u{|!TcJd1B`qKt<?
z8vu9q`z&3|=11(mljwT1nCAcM48h%pc|2#o#L>qiHlL;+!_j@`BEO9?241samc{qb
zq<1hrVj%I?=oyC5>DF6ZR^XP=v!K=?ySyFEBX)HgUqiI49A?iNAlA<ivROWYUV2!m
zgHJR8>pS)~`fCwmQ>zZiJB(hyv6{UmSfl6J0bpH0kbP(df~w%XX?FNMC({%vzKx+3
zXE-d4hv*Z2={r`AS4$64AM*N#-JKnWOt`!hK3>L&FqXn|EPfsFVL<aFnojZhQiklZ
z@OD}D^s)+x_4y$mAx~JOn1u~xa;WfXVM;G+?Uo&(^oL@0$)<C+C;^z#%Q%E7!#$Kf
z{mxx0id(!CK`s2|V-F_vr84DCe#9y(kXNTKU%vg}&3hBB@<`x7r6t0t8S)M9xqI$q
z5(141zpIciNhBm$0O`2+Jo0jqjl(|{*K>Pd_J>$`1@h$8kH<g1d~YFC=^M0Gf<FrR
zYYAC!*pgKt9Y4TYfCE#ETVTSR1e3fh?v>4yUKYhmM%<0vqI%f^S%yO`n%4glW)CMy
zYeQDIwr6!~&+0rdlplRoA84`qK!oC+)v=FNHdOvriWRe{4P^zDE2f;|wTUMus4`C#
zv*7Tuth9E|>H~#|h}B`rZNus<kTq7fct9^%jny5W_bE`Yv^WLZikBs;do@-k$SMVc
zGFu07h1G$>R$1MXke-dLg`=6(CI4X+@$M0<&LP`by%ACgf?7DO{U@=yy&<dH+q1g8
zXLTO`%8xdyo1PA<+xDK-Cou6yW+^=lrsQyW!fT3I*iiPbWOZBROJa4HaxJW0NOfqq
zsxOH-q0nlBtg*VyyBR*8Nd0q2%Pv@5TFB~NvsQO%tWJ=vtgZvO!s@_bE3EDnTHO@<
z-oomV|1eBcR_BndtlkXy8Cc!fkkyUtS>4#PI*)(lN1xSgEmrsTtp3%qI+7B-&WP1v
z%5B5yEs!-<H(FTTMGE9^2VrqmSKmE_R(FjWs}p29t7|~6usU$qDytV--9*>8!%Z0&
z$$wZyWpxhO&gzYjpMli}g4M60d@*Aum?0PWaZG4ZEQmLJCAqF#I_0_Xb(8CH7|Dz&
zbli*IzhrV<v>gYq=>Xy4yU&_jH+zQbK%)Ms<oY0RG^pM2jLoS15Z1M3i(F=`o4N+d
zK*7Os0l#?2PGJcnV#CRwi+PSKK@Iny40J?&&E&mB^+7S?dJX0ckK_~A{z7fM;ptR9
zX7X`{?=X$e2}5=e*>K+Uvp{7AvgzEKzE@@-Hji@cP)r`7gJk0whuaY)M$h%o6hpCO
zH@4%2p=lcdFfvxDzyTc(U!Pj<k=E2t0Ufws6*x%S<6l)c(?G?K-q}Wx<M2E+Uj*J+
zVQ71v)j#HG8{wUVyBMBZCM+o+wCbG>obZlT00szAgYyKJgLm>n0G{FVEL7Qdb`XY+
z6}bH&Z%PGjcyVr7P2Oq1Rr}6I@2YU7LEbsO#d{IF3kGN^-oeQFo^RCpj>AD??WVrd
zfvfgiAp1^%Gfm->(F3v*-f?zRysNy4unP4ZhpT=s(uZd&TsdVLnL@Xa80B+kzRTs~
zFU5*{Oe8JtVsR6vu{_9x^QNp=<h)_vXlUtNmcYd_*o)Om?gc!XXbjgn$tAc(CZ0>m
z=wkXoU4&Djb5%|&>5MAxP@LA_O4oG*v9TVdX<ZHJCsOu}(hn|y^aJJge=Pkh^V#=R
zIJigSDe31pzK-+@EWzRH^3c~J{fMW<WNz5Qu?yiRnWB0kcA>L2!$}UPp7=B;cA#@B
z!6~v2oFabHR(%0}K$qG;QGIo^s!AhLt7^zLH2w)*5ouMlX3ZC<c?ZyZHYifZbNa`~
z*A=waT#i02`P$Hw^gt4N_%5iSd6dAgOHJk1)iUlK5eN82qJ^WKzy5{GJwz_wEubGC
zMFTO#52d9pBGk}aN?QPjV6WioD{z|LS)AbOXw_xaa!gy$%3y4K6=@dIJYAQ^G(Rz+
z6(XKLr=IFkBY2-P*1|S?NOt(0DTY`?Mqz^s+Jr;GiAIvnICNywxh#Q;B}wRND%C$F
z@KFT?>IVsls>b~U^_BlJM9He*mCkEMV@oxXRo>RJ>gs%ZUG;@GtUi@fvta-7GjCY6
zO$}jX+d9H(uoqS>lYol#$PTG+hg>I_m6ILWTTq=!3}n-}mFX05g>)v~>pa;_9-(_=
z<GG@Tyt?M7=F}>1RY64TrUzHeyfoz049>XRJ1)_<qdwnts%XDbUzLrOIklQ3DmP1M
zzzOe2uQe$whw?%&VMT9(im}y&f8`|sd<q?1foqd7EpX+qQ-E)DrdhGqG3#%8rzumL
zKL0Kq11}B;MVdfLh&CmM+G3PuI_MY`Z$~kTI+gFu_BC`2{A&z&-k2VOOIK&xFAe_V
zb<Fdw4I<0wtPR3af<}VK1+|0oiLFaWUrT~W^P>&9X|H3{wLzc1po!>K)&|$rF|BZ$
z=@^(p4Kyqm3&v7-Cv{943&RX6g+~duBNpB(1xkT`rAh&)xj95PR0=BIj$*V>3W0`F
z5c|m*l>%Ja#X`~-{RiEr@*Sr2y!j4TCAYNl9Ro{8C%D00Cp`MaM|>^6Qd*L`dz}D6
zsgj`Z`R172>4Y}84RwNB+e0)6!@%`v4^c%Y;I^9MW84W-U9?ekLK|E)-vR%aAa>~}
zaD!q+iEqt;Zsaq(;T6Hz6v~Ty2Zw9h2V*zG?dT0bvtr%Pi+|F(4YlF%nT;Jzp8)%P
lFbq-?u8aTmLNl9E9gW+{b@suiaJ!4n&fmA#@%|Y`000L+>v;eG

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz b/compteur/implementation/pnr/reports/timing/compteur_prePlace_reg2reg.tarpt.gz
new file mode 100644
index 0000000000000000000000000000000000000000..e252c8ab43854b43d91dba7d4ec0986f6c2d8e20
GIT binary patch
literal 3220
zcmV;F3~TcriwFP!000001MOW~Z`(!|e%G%UAP-Ft*y2sR80dpzr>)Vho!Dv7qDTp?
z%sAZ0lqyk9jP?HaJ##sni%5$iDX+bzfOv)+^5fymxqLh`WL~^z^&7k(<i{jS7UMj*
zB>%iUAnbQEzD%-7LQb-5cC%U%JKVRey}4yMu}x1oyATKR!|C5&(ropOd<*^9_g?-q
zTju2Cn9L`)OV56#UuBkwlRvByayH2c35o3-jDiE(CXQuC^zh?knO@<6{3?^#^*m2j
z3)*}%yS^T0m#P$bdY$mI$liRByqk{U2KHvF{5V~ZVe)N0Tjaz1dOrM=&K60Qr`c@y
zZZZ2;GRc?2e3j1@X)=wo)pfE+|6V0SyxcIoo==l&IAffrvurre7DKpJnq3WAb^VJM
zgLmWnGqDfIKi+;I7fHUFlcUec<O}(l!v1$@MkZMvFOsW2-H*egpUL2Db~&G=Sx#?T
zX*wn^Z(flJJrxF#olK_5_!2}U$>mi-W}nD+lj)c525*w9G!qaAV0|3EColhcMe;>@
zb(Jib9*_zECh?Dp*=oK#ApZu@9QgO2!C^L@-Y(N6`7KSp9+2g9_B9^g49@b;$%25?
z<Z!V_Z^l#d0S1YWCjD#eTb4E06ZbCn>oqtk^aroWyU*igLM}e1pFm~Se)hgIqFBFz
z-Y)Vn^wRCxG4zTyT=9Eyp8UN^>8<Jli8Jhd%l81QTxx{1_I=+0tP9YzFXcdRsI~7|
z&VZeBG@ZeL=PBI9TRJSIKi(&->3br_t5O!_c(@lj<aCS<_!VF8b@O{oINlu>nW`rH
z-SHcN-KPl*3T-}0rc>H>2PQln-$IGKcqe=PPRC<d{3KQtmtlC=rtA}AM)wp|A)j%(
z;{LH4u%t&}9ZR_f8l`+`42FX;P=Wq}jXT13qfE3N>$GHJ!uPP@hbs1;Vs&8AYk0>$
z{BZu$``>MfCsAaLt5Z4NdnN>zFN<x9Zt8fB@6byE2-cwL`<{Y#<$6IJ+pZN2-<04T
z{qpnS>9O;>3#Uk_>f!yN<FP)|@oWeHTs}9TS74$B!AO_SiDNeioZ%ab9#Y&d!#g`X
zactUs(4@*&2an5l!_Lj(Q5l|)3I^5lQNGX%HTm2qjy)&xhllKDbiBi}6UQl$uMVEx
ze<71k$FnWoYu5f~#5#u#bu^-bj{0}yx-h<B5L!n6aXjchx2*r_;OYGrVgD(3wvGA+
zo|E?<bG3?mXde*qj+wq-|8YEBpCNiM6y}M`@T74Yj$zA9^nbhd;4)x#@5J#Qm{}1<
zFJOphAG2TtyG<Y7u?rU$rsGt&ZKb-c2gk9+vZVu8q*FY{UX}mmj0{fF<3XXHy8A&z
zHQ=P^Tk4~JIE*agWljwy=~OdGXaXh)MDYKZNjkRmpiC0nuSZ!aav-7j%<$wN@Yl9q
zhe<jfw~}4^ArP}?lA4hTQ$>r#k{*L~Eae_(l##asCh4e54I?Eyzcpo&Rd`LAWJpPo
zWE!oRWEGyyggD!)!z80Xm(K;J>jj?4bXib5WjyzOCRv52GohdiFW~%<GRcTWM9S2{
zKpkIT;`Z>6w$L9Of=r0xot*y0c%;d6@5ckl_^*guS?n`-1J6hKz%!wI;L4Q#L;Yi6
zgC2rRoa0?ogu)6uX*`<QZM}gkXV)HbmrQLBZ-VJXEvg3n-#=~>LitsxQ{%L!P*Qw+
zuToN#uPJyPDk&puZVkd_R}(g9x;SaY*q~d$*FWy$pJR_6nzFg08kEf&d37jTX!n#2
z62H$i`4`e85K<x~WR_93P2LD7f>(uSP&S9s0v*p`c{G;%V>|<*zO^5W49XU2lmkOL
z<ZS?tQUsUL2mR^Rxff5R97tgxH@S}I7s)@jpd6uXP&Pk~9Y1ubl;F*Eyn?Yola~Ce
zfu~b8xLv?7@B)<2v#3z;1IiZa$v@A7<lo2(1f@jzINs^mInVtW^3}oP^5J-ROg;n8
z<5Gc=j3|#}@<pziJVpKEfuo3qS<LZteZd%5iPvE?q{5P(vOPy9Nhw>YQ{%*^P||HE
zn}XM&k}}HX)u3#gY?jW}LKCHIZKrD=Ic2kh2d8Xs#m!kZcs8y<*+!1vQ?}<z*<kn~
zdYY82d6umb&!B8|=W7F#a<rVUt;EwQ2hW7n$g+hIO=w~NK^CiJmaP&`r);&eY>_sf
zjFgBwW{5UO**IP$C6ZY?6|ci+(^De0VCb1rqH?FfiA57iq~dj`q>K`cYEYsLS**8R
zP$D2zk9P^10~34?xr7ZS9^E=i*uXv4p+r%i#iGj>pQAT|EXOD+%VM>lL{)g45@84~
zUBV`EIk4nR$?7;pmPn^WI-X8pWR{~2o=!PRDUrwxS^HpFG7F<l>>=f#S(vx<kU~C)
z`DWHm%2$Ecp*Qa-$Frs!rAFC23!^%X4waNq4!;KF;O?n33j<AEtaN(Rgu`~C2PGV^
zkkhei<Y3&WP7cO*`Ze><m~g=GLnOo;0tU?HgrgF#Dd89y(>`qpM-^UE!r`maK9GYM
z#c||^xMp4_9CQu&n>TdTw=r#|5SS`FJx4?*2VvSw$73^$bgm36A6ntZTt)f#@-^Q$
z&BgJGg+!)&<#-*&nkP?)wqHop@j4<l-b^c=)SB-~e^EAVU+AZ9KFHi*f=h+#NIh}Q
zcTj@~-Jg7E$Akd;vA;d&K#JpmQ!iFfg9$l7oi*RS+oxWhGZS*lQZEgk${+}XI+a9T
zX2mo(W8{Sw@nBP*{Q!NXhX4rHpc=7!hso3cPmknfc<3N2@j7yq#d6wyfyr~sU()zn
zrSxUtTvvLjq*ycXI#g1Y+6Zd|^bHG4+Rdf_I6M{6B`S}2HpTNEJf!<|Lb?~$m`(9V
z5qFroc1ZneTzAF`B*I$JXtAV6VI51k2O4EEqWx=JF#IJUxZ&I`4e3>QMslNUO)z_R
zorQE!V<Ek40Sw4z%vO}(p^t=+UXCX_*BF>BH}S!C*A~>j=0hLejlmIvh+~N*Jqqhs
z%01B7nGw+tT&46)L%M<|g*R?|%T0VnkM0TSi)Eh0%iC<izVpL*y*6jDc0jY|bsYvW
zsgM1s-L}IA4e^kabe%dO9$pUG{LP;r3Z8n1SCt*zEvEC7cM@5055FJ*8|)(zP_jow
z@iCxANkxjmQDnh(>6<7Ll=Z(V!S7Ajxnb_gCRVYm<;}Q^AP#?)*7yPntK0(JC0|y7
zDyWV^57z8<-M{e*!Z{lfk(;qOVc>EX7yi@wXkt6;trA8XM2+V3U3Jc;I34|(nhz)%
zORyCRQI0JKL)FlBb=2=CO($3v;GIZKD?Zl6lnyPmlW)Cn%@dcxD`LqmR~*sOHkCog
zDwfTSvRqQd8i8lrXN?tExD94#fXdrwr@}b;EQw%SoN}Beli5wOxUKrGU<u#A$yR7O
zKjp*M<eM;siKG4hulYvN75tv`zc3~ie9P}4X9?hYg?2r@Vb|ar(bIp;SX2MHZVZO8
zjBwC^wf)xzxlS1FOel)tD*(JWvZY%S_pJH=tYg{Sy-6uWtzc8^4m8pM`U5*LjlX8R
zbw~%CFCk?Oc5VPKXC1c2IvBXa(zu*<P;ueMtVof(#Cy>LO-jSSs}j9<83SAnh^DFS
zHc-!>H1WWj5Oz@zj_NPV!I(6#Pk}EAt^kITLtA~56eEfQizOXVtYaznK%+DijoeE`
z!*^8+yDMa+vP;{5u%p|CqQ2`UXU@k-ri?WMPYT~!Nja+!pDHdwX~oxy@1LINS%p*!
zR_pf5#XYO2R(8WtLGKs73hL5b!A8$2m~OIe*^tSoaBiCe|GJA4*Hls&051KdtZfG0
z1*-tZhTe<bbk8b^@4M6Z(0_BBc^(mUG5BIh+pvzM+yjlfXB7>n7<bJo#Aj<av5NcO
z=Rmvca}~me_N;<F3GC=Aw#?AQpO&Pe(UTA#WAPv)3Nh|QG=^<#fwKNn5x*_rqNJx{
zyOm9>Vp+?V6>>M68_}PZ8l@?A$tNf_W)Eb|$Rpep|8)y^G;~{)bfO}ej8`$R<zT29
z+OCfJ{ghJ_cn`uL3f3<ve<$-H0$wpY(<ryHL@}B;s93^qViild2O7605~{$GV;gZ_
z)7y3Gu5k8p6EonX=&jTfMwaI$#J5y8v55QsCnYq;o<#`7?O8<6A{6h?vk39uGnKoz
zZn6?vvIy{K=(c(mL3X)dvu6?fnL;J+=p1+piyCxS4HnV(f6!4M0=i=ngZ~2Q!AmDf
Ga{vJ9`aCKC

literal 0
HcmV?d00001

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS.cap.gz b/compteur/implementation/pnr/timing/compteur_postCTS.cap.gz
deleted file mode 100644
index 7a726c05e5d1690774145dfbd834a87e262c49c8..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 447
zcmV;w0YLsAiwFR;?vz~s1HF??Z`&{ohVT9r1afHy#FA_$$a0*sY{9xDX!eO=Ff@nl
zv{@gM<-+~<m0cx`x5Ey>M!*0m@--jR)M#{;MI(S$sL*nUd-!%ghu(6&M^z%Ml+t%i
z4Qv)OIyRIh1?wc6T_}9AE1{Ye+S#<2W*4t@?O?S4Q{LAZyBv1WDu_S&U{gAP1Xwmt
zXY(wBgtF&d`2uTks|H<4Esev*cIjM8$<_We?}Wrh6^xDVpTFC|ST}AVED&sswvISy
z$c@kzl@m&nt<^uUbTx5}(^g<rs79g{zZxWmZbV2^q4WywgjU2TOMEM#Zlm)?-_3rF
z4v3#}?Y2VwKGCDS<!#Q*;m?>s&d0lomp`8lu&TEHTThFwQF7atM59O-rE*{B*Qkq^
zFN@7GPo_ohtY<}^EhzasM4)j>>CvAY{m#ZTP6h}0)teXZm!Fb9-qN!`AV>!UlR!WN
zLHZvEk^un=1Zf~h&Lvo_Km3h=4G7XeFbM?gpUxn9Ce)3dgZqvaf%_)|$=jmz8+Ipj
p)vtREelL9m?E9M)A4J({NvE~QE4Z+ai*|h(M-TKpQMs7}006%1)z$z2

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS.fanout.gz b/compteur/implementation/pnr/timing/compteur_postCTS.fanout.gz
deleted file mode 100644
index f3a68d4aa53c861dd836fa443cfac4eb38c0f8f5..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 326
zcmV-M0lEGkiwFR;?vz~s1Eo;GPQx$^z4t3D^#Vv++EGoT*G|Bs!6t^paSE+F0*w<=
zck2G0w3NZP?ww-$J-_&c;aLbmfIB2~lCgl-Lkc}ISs>*I3nH^`YKUj!I2zd~nr!1v
zvf2#9Un~_hEwr=gcA8w=o0?%U2b&-2B)&X#F*Jx@e6h+IU;=THUeD4bfk_nKbo4pa
zYDXt7xzU!faospGT1zVa&RJ>vR>8=6|Ll1KBh&C)IS|-d<5;k^qEyBqu_7bZ&b(vJ
zwcv)0Q&?@O(dg7?gW|_cC~Yg$ULjc-5|*6sR*H7PdC_;-KO%$hDc8JK^gq!f9%Oqf
zX=!8uaC{6H>P_`AG%~EJwZHXb!xL)hdPWci!Ih%Yq`+_J5L68jXxTz3$)^QXw|%cn
Y)t?LgTb>6+AM7~z0*s*h`)>jO05!#&K>z>%

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS.length.gz b/compteur/implementation/pnr/timing/compteur_postCTS.length.gz
deleted file mode 100644
index dbe344debe7315db63bfa67171c5f28c74b9c9c6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 241
zcmV<N01p2jiwFR;?vz~s1Er8bOT$1Ah41|p1G(rSZZ?Jzb4@@CLWK$*Lrlgp(w$j1
zvy%RM6Rl{^eYfGgj}InEF3KtaxJSm&DGu;@tl&F!Hz4;28)o^8BiQoBW~tAzytNCm
z-JOPic_fY#Oy#;=7grB5Dr_3?{c$Yp^|?j(1i#G3wpV~0Y*F2oRZ&2m*}G-l;7EHu
zv-IM;Vhl@Ni*p?h|9d5eKNO^X_SerlNF{1R0Sr!Ff*N(+ypaTCB^L7(<Q;n*jYgF~
rcxX8~4D=Dv{MrmTe?T`AoszKe9L%gD-mflueX{xjq+r%wya50J_S$Z6

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS.summary.gz b/compteur/implementation/pnr/timing/compteur_postCTS.summary.gz
deleted file mode 100644
index 3884713d36dcaee408d23351096ec9f9bef7e3a0..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 548
zcmV+<0^9u`iwFP!00000|E*PRZ{jcv{@!0<2~7e`(6n^G`fUQ~Ht94bK<p#R6~l6B
zl3tSTK;p+=TFOheZk*Oxs^r>!{KSrvG#a(UX#o5{fr=Vj!mn)zxeVzNMTGDmgnUgk
zc!Q2d+6f{4!pk7zX%TpmpG>41*bI7$UeLUk+Q7pIl4z>~uT^G|q5wbJpK)XWeDH#>
zI|zd=_{6)-!bhmtT9jBK$rFRA%G^WAITg!uzhNBrC}<~^e>j^$Tc&2j6u7uaq%yAI
ziMwJ_p)gEH_esg$7#Z!F)JVlJUWk;VVt-O}4{R>uNsQbgs9{pLiBPVkVq)#oYkk9U
z+BL+ftbCf<zNqcn%_&JeTIagTeEqrR>~iKQnlu3}mze)%MjFStrf}`s&uU9t(KI%&
ztHV?b*y9wMLbpO|BpvU@&pAoQC;dX(+e)BW2}B4fLf>k8(45KG4u)966E!b7%#Gww
z-8=1^>CTpZ0(t#IsMkG$Zug)sHR3(0GR^1yazBr|b|w>~aixDI&B0TYJ(|q*A*zb&
z!diBfYk5>4(CDT?#dRw)JxgV8AG<Nt{{QOBrM2w)!s%ua(WF#&0L`Fv){1sEZsY_1
zF^f@DT*wjxy-QhERM-pe6h)v(&a)I#SnWS%iBYW0%U82}Pj{UW3eAjtk8TE?{vfz=
mCbqKdn2lditz!8%%n#xf+=G1w3VmMhYWoKQvYQA@2LJ%wND;jN

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS.tran.gz b/compteur/implementation/pnr/timing/compteur_postCTS.tran.gz
deleted file mode 100644
index 66f329569658491feb35869aa6b0fc3a7d9ab268..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 497
zcmV<N0S^8jiwFR;?vz~s1ErH~Z__Xk$KU5uoYXfPQtLc8S?Ehr3KgTR*1|vtMJBxt
zLt+QVnX+$>lep^AA+2a9Mb7>2&iC`l9*tgP&IsTg3RFzv4t_jLp|gbTP-F-TA>@5s
zffr9acU-!zpL%V{YGV_RcFjfIKodu4L@(aUO2c9XW%f`}?{e5h3R`?@oK>a)eDLTr
zh^Lf-?|QG>^chzCP7JzavM4pystqTyD45tij%!|EUj^gR^iQ@M7|UAExB`MrDU~L=
zD9N5ng+g;7$y&)@nCXh>T1&+-Peomz;(ux+hi-%yWsZfZV46!oN}-5p#l@ZTveCD*
zU!n$Lrd;VY7pD_Fv5&0TDkiq(n7uIctgy*h_WK|tnCEMA?!i7cSTNP4I3q`<nb6<-
z?@sH_-=?d1;(KZLvkcNMn)>AH35q-MV^@)G+4n+7uUg^Ck2+y&Vv$kIN@*)4*B=(k
z&tH7^$!0AQSP*vr5?PSgf;_GL7f29xAl?xq4116u>_Ogqnm-2;3_wB);#!d4JP_K0
zghvqi-yq%qB(NZ%1@X=U33?EE1PLq%Jp;sf#l>DuLH|UBz|2RXU`?vcb%T2@^X_3(
nU~aHUfnE0oCx<HfIHfIC$a1(){Vlw?oH&00j5>lc!vp{TRaWdh

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS_all.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postCTS_all.tarpt.gz
deleted file mode 100644
index 8c85198bc227eb58536290b9a464fa24f455a34c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 4535
zcmV;o5lHSIiwFP!000001MOXFZ`(!|{qA2uARpQyuq{6H3Xl(er45=UY20>O6kEY-
zvyNJuawR#9vEKi_XC8Ov&XANwl&r)B2_!S-<IaO~&pmU76rVg<YukAeMPKJdK0TY~
z7tw$2o<(vyI=je=^E`T46q66PvnU?y#hu-oPA3_~;*vL~`b2fQ`2W?oxcwM?9P~!L
z?8|Q^vw8ILIJ!B%n`QA=ZYh&OU;Hl=`R05cMM)HA&(guOEQ^v(JQSxN=d<x8ov2DV
zpIqO}^V_L7d^EYfJ}WMGE#~9vTwN9I-k|)WA5Np)$?g1jJdO7Ak2jO)e1Cp@v;X^e
zGR=$mxR~s}olgG9&*!uK`R#l%9p_i0;`Tb9j{myN_o=k~@%7DBevK>6=Hp4Re^X5N
zQPjA&+*zyVpFG)ldp3U`#m}PePJW19;RZw}ALseBIJ-jYug>nGgV`*fibA8Elj7oL
zGA`z#!IRN>G5<CBx9pWKKYSIPzt7MAL|^_rhP~)2Kf6HfqWt1Ak0!rIUz}h4`Nhs*
zemO3*30yv!=9e(B|9vD3%%|ha%X}(FK%D_u*{`RQ+nd?5=)bV}znueA^=>wvML&)6
zzn?|3tI6M^vkyCO=I`@qgc?T&)9LsFn)3s0jk<^8|IS{g)7jbeTWe2{xz1jKvz|t8
z-=EF$==A;gcMO!$7VjlpVe3bX*6I8VJ#<$(N0b=D*7N9H{@3kTG}En+zM``?l(wpC
zO=dfL{ow$%POr|+|ExBIQ&D0!*^$>AT}^P}yD?gEB5q0Lrusx4dKw+0*YfD#;*Z<e
zTnxO5E5!L-W&de(I=P)nXQknyh7Y@JSDfEd=Rc3^Sg5%}kvzYn?e9M5_B2w(+_UON
zZ^s4yGrCt@N9VlGsZYe7qx|YhoPDp|=H9gG-rn*1s$!fnqy@Q$im`|J9?B!t!$|Bg
zS>2jNefCrx)CoJ2@1Z=UySp~GYg;oO!4+*qc@EY34S(N9{yEeFaWdtRs++F%$nlFT
z-5UlON8j>7q=mX-PLE%_c=yfsKgX~nN4PX@bIMJsi}~IyMO^to*7wE9=*^4Q=}5xh
zD8T`@m?RzOVkn1V(xIpWwMLpaN;)IY*8ahwVqU)f>E}e&%5BZ+#rz_QQJto0kg?Wy
z#SAmunlu${#n#!&23=&m*2LAVsVnB_)wi;w4lLO_f}Tp#6^oIrQTN6PP4aHJ#bjM-
zO@Gj%VutCEwZ=CgJ6!!|hJb(0eMHe(3`evk7I$0p6tLP9(-losoyJ^=x!=aJz%&^y
zlZLT9UO%?SZER;F2O}Xcwhw}0d#{b{A<ojqcH2uFawt#f)?<55gc6D$6x#tA8;<RD
z#Vm>KT^$Vth<mZUFG7U?_C)89%`)B^9othK4UMgGY&VBs5@G7_#}_{*el%RYm{K&<
zV2)b*#bm?2ZcV?hassC+qM<dxFwKjG_M8RLaPTRkVcIYnrt3$;w2g*r<b+2<%wYp&
zx|k13>+MX}!hJ=qjfS>UY~-`C&+fCit;}@g9Knx<fQ%KQp_%9c(D`f%N|%Iq?2PM*
zSrQF9IvR>;nOjWKQ^pYubq>X3U4ik`8XXP0IvN^V<!ERQ;p*CGxN<S2XsE%wDjE)`
zHGLTZaf*nByftdxXH76n^P-_WryLE{G#Ycmu9;9{a$q`*4L&<wH<zQiVWy(tX+FQb
ziH?MVtMpqTeGwyrK#MWxh`;R6arEVH&Bfo242dwXy7&w2IKjWQ1z^>7b`zZ}Ms>V(
z0XP$4@=^gf%>u$=(&_&*!Xl(iv7R6ljc2Mw58xtRaI^yO14_SQCV@>S>W|VMI*Qb2
zyezAM*2f3kL*<U*dniw-4TUggG2D}HQIR^m)i#0E+Ld)NDh<*B8udyLz(54M1*YA|
zLt)K^I$(9JX%XOzX(f0LbWjtp>zbw+mpjFC1kcMf>yJip+8OR2E;fDe`Z$65EKjm^
z4Kw`<H(iXR6=6wkSYvQZ#{;FBBL4Q2_J|JY^?2|?ty9!G>t}AQ%cfsT+pkcNOC~~0
z>sl*0wixwweW}*2>Db7zz1YaHgQQ|Q-5<dgCk<uOLQPhk<kmXOv?K~@To<FBS8LaF
z9III@B6zCgWI+@%8^P_kMgTpQ-KbrrY}(Zq?6|HmZC%y(_{M(|@;&z1lU??GfJi}|
zq;buJ2OAyanxHtm)^eb>uM>e<W}`gP@Iau)3k@4e#bBX4rFIVv)}cQN=ipCu5|yMp
zQU>|RR^zhbmL+?2{y?7L*|U{zJpSc{xW976q}xy<>a4F3DMH*n4WS2o@QO(qvSO0N
z?TSf=hj=)r?u9`+eQPY}$sO&}5Jv9ssIu-anRrNN4-syPJ(NevFjR2cn3E!pHd|Ya
z=lWIgc`gB}rKO9C5fqwls33nDVga4jA_tma<&LZ;c$23Q^|VH0Y;n3*X6>~a5ih3V
zF@<t5C5=dfIoj(>QCXj8c0Uoeu?dZcPid4!WKFPMGo9w2Q`U$~G5TQ=QOx!=qF#VT
zq;$$kjR=SS@fs1PFx!4eaM%mchz4nhMii&5MidekagG-nO;8MphK(K#l<;C>f&~(N
zK<uC_(#Del+kVETDNAXS*}<|J`yoz%9t8zA#G!e7+QAJQ^~Rm`Q+Sg>t;R5dnlW4s
zFplABRmgd$&sBvM7&gj{TVpB$HO_`S+B3sYSB&AZZsQoPR)qRI@Vbi70>ehNacj)=
zpgO~<#!KZOVYo&Oay6_J%G;nn=<FZJ#gjV2Zw_9jv5<q@8arB0jbXQshjy$<cXJHK
zJ@WvC*55>&9ttVTAICBl@|))yc7_{`UPw&UhOJv#?_DcL=+>%0_1#E)1WyrLMFj9g
zpiSVuE=`n3S)`58o{b#JQrZOLsY-6`7_tk&CawZtMI3QGM|L(#aXptj!ZT;9UVqyH
zj^NHp`KKR1co#2<p1zcCv^0GsMv6dkUG+0w7X3`$ivhR?P~H@ar^1(_$BuMBfCpa|
zU5<FND>tYBX*^-DB1^E?C;p_PNE_pzMFox_l&7=_B<c#YdU|zc=m@@m0a?Kp)QTt?
z$kCBoHhcjWTMftN7FiA?zS1($Qoy)<<hAD@IwPo94>ffzQ))v{y*h_jc4--y9ovFd
zL;DUdTb=uQb!OzZ*0QQ|9Y-~+T3W7Tzg+WiRuvIu(9d<2>lRTM{pFVJV7E@OUXF0%
zv14qzrU);)_r`)AE;MQ=6=zYNQo9ES?WD-nrVU`I-T2dNlt;=y6WMCeY`&(*?b*tE
z4PWt3B9(&xQmNj3s8msLNRY}k9<@Wa0P%%|&zMxk@wRo;bQqwccC(O2(9>c2tlf&<
zp--nsL7pyM-^{Ev5QIpqh==O6^Y-;?)obX{I__xjRgeg|xd1D;qkK2WSFsep+RKq!
zP)r>Sp}G8`VgOjqW{Od)GG#9;yTv3uwJ-<1s&go&*W(I=G*SEdwd%BTG2VO8`oa1p
z#jpiORZRWs*ZAECd5>^IdgckazS9~o+1_ZB^ad#wOx+EgrYK^$m^vyRQVeLVvzcNF
zybjN|5-*qUw}~~=%)Wj-kP0V_G_)ogjqsj!Up}SiS~G;#FXr`|ca_gsh7?oL8Z`up
zQO1kMeejCusyouzLy%COBo>2*$Wm))6+^{v&zg46axw0JCU2=NW>b8n2EYlSTP|PI
zL1H&`5?n!SCV<(<<x9gDB@N=0wyzU4=v0v=k7JER5k8bCPwB&P`N~kE7%>{dgP8w5
zuJsMdiMau@XDh$p<^>!gE<aqK%b%>p<sXAU91pwO=JMTc0GEek6Ce<0!&V>;@CbFv
za@>>X)C$BL#WY|eAsiQbD36qB9fB*#pWyK+#U=#eTFYw-#QlEF6AWt$#I=^!7Kn#k
z{<t8gZYSdA5GnMf=Q_5=1Y&M^QxVC!DEVMZ&}^TSa@0xM%UXiAg4kLO6U_-4x3?*G
zAc7Wm0vMXY&Pufvhc@xdYNOXUw)I|foD8<g&*HsKk_7RyZU8^)c3Z8r1aEaamcyPT
zBP~B$gP(P!4)hTG3_~5vqr$S{XI$GeZ%yC=_t5})?*dAteKlgx;WAZe0l&_2ooX0j
z*<B3xS(IxzPDoly`|4#|@Ze#}`5Efm9gT*)L9%}+HBwp(FIj%`GC4dH4}-c7N`zQ;
zIb|w+h5m!M=~^Dp!=M=n&l32m?;u_aW}MQu?A}4rHpI)_`mGb!@}`2QMG?Y{mQ&h3
z@pIHi;goX2lAkVE45Upcel&_GT~qL5wPW*HWNT3kKLLS@I1)1~bDfu5!ZT;9?%%AH
z{x)P%|2X=>AIVWXxROlb(0XJN2Jj2%pZCIjwp}ubIM4|plW8a91*q<DsKV=G2fFrY
z(6wOD!UKm8%2V0|5s5BXflutI21k$_3`iXGDPQGWn_I3Ep$I1zSyp6H!LC{;=D$qp
zT83B2x?`0^=L9k9aIudGXPo3LvrILuwQO&Ky;<w!D1bk9-*2B%6dvV`1wCA7)KDtU
zqCBN`4-Q)ATmLD=o_u;E$eDvyHaR%h%6ko8@lV5b^pC|a<T^{<eZkV^x}Sq-_&u0y
zNoPsCb+(g+upN8@B0xIpwM(1f-a*kphw6$I0~vr@>5Na<I43FR(Uoi}2<CtRS;2NH
zALHiMTux${1LYQ3E=y+%o+YgMl*>?l2gL_<)cI5{6LRUS-ZIq`q8$5$4`z8&@NUOD
zjpvr_*gh%csFUiQzI~2Md!p;i@aq+vIf(6Wdz*3x!*=w?04mwebT%(Wv%BJ4ex<HL
z-zlBYOyzOS$S1o#Z>=YexBFu^P`T5PAG;CX;9LHk0DSVP)p|;ZSG&W5LU_HQK*-w%
zTk(hCKms=P?_W03x^X!+4Zb>2`^;W;f;cf;_*M<oK;q#E`;)Si22BoC9Z{VMF2tHa
zS)?uxQePt@PEo>zvM{bYWvM<6CbYyhSAR^wzvB1HJlpUwd1J&fqRK_mJ+ZU8-ctM)
zm#98jWSg;}YTNc^)DG8`5778-`Ovbiqn@JSTZaTbHQF2m*plTK)EKa)@3TQgSxTEb
zeRWNlw<EjABP*3&&lRn^P|h=Io3ZTH1{^n(Nq;foU4B0KkWcUGJ{nQMmzX5{?zMuh
zChxNv2q9kfsn^C#S7<nP5^>M_{VhLel_dRu2d$DUWNmCX&|mm{><GDywJ|tSC`@9M
zR1qd1TZ0#Z)R5Dt!@x)E=YLWj>6);qA%kNK<tc3rpQ<@^HD@p?mYtlBGL*m}gfyWb
z`->?yx7>I&Yr;417*tE+a5dQ)&?cP&ZGx9#C()(~bQG8Pv`HGTQ@(19+Zz%9^r5HF
zIDhQ0&@P(^jPUNd9x*g<C>3W>o>IF92kmfPf7#4+Y~~(imktt=xIJ5Wui-2HX;_i|
zVt}CnjXtH-vLX%qlmH{4>Fh>77U%hNel{-VcXWuQ_{~uK7TxpcUH;eYc$!~OXk(`!
zEAh5vMWRzw09ApfWkr@DwX6t?qwb+&nq+<&R)mE$LdTXB!G)hjr<2?1c^<tiW`M@=
zq|nm_;oo|bZmz}d=h0z)IWBG{z|guc3vyU!SrIz%@vx%GwenBTiu9Kwma(G6FXEBk
zw_1_*>y{NgIQ(sg710!$RGB(O9rwLTDtbtzh^q7ul!zu@lm^Wp)!0XM8m_JJ+24KC
zNG5tnl}Oko^~UCEMIs<3!D1~PGDOa;H<jYqwl`zNDe!{RX?(X5QGF*-A8Cg(i|ck}
zeIx5>+PqH0n4yibNW&#zJz8kcP>N<!mQuS12UnMeSnZhXi>&L<qz)-2fr~gwusMzE
z3N)qh%-O2f-?o4wMjO(f6IjNA)Rzz{-yp*wa`%=6>4Dp_pq2$)VJx&PNIL{UrR)>6
z54#v@E>~{K%DS;#!zKtqm)j0mD_fBTF<5L_(1WAFLrOv|3sPR|(iZJ}jzG=)*`qD?
V%Mgl5d^Rj-=l=jySd1Q?002{9;OPJW

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS_default.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postCTS_default.tarpt.gz
deleted file mode 100644
index 6da04943265bca4a38990a6a2a33434639220604..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2775
zcmV;|3Mlm-iwFP!000001MOVfa@$4{edkw9l^)`hiX`p?RFwx^6IHyDZ8?cksSS!t
z!4hH$U_el|YPJ8q-80je84!>_ijlP&;z1Y!gYKT&IX&Hgc6Oe0^mZ8gKFN||Tqc+7
zUw3<qABW@1B%37cG|Tea#hlsUz_$7`%W`5HA348}6-9OY`#Q}QU)Yy0hy(A{5Ba=g
zr$=lyxtn|Tx2BdnlMnv_D$ge+V-B;uJvZF*Jmy$-gw>Cdd3r?^g_cQvGb@utfwvFy
zo11ZVsasK|H;H(P^=F{}@cjkr=Zo?vE!Z&mGRuo{Sl-NrAJe=@vNFx`;hQ4=Bbk)*
zVYw*tB2A`owzx@(^v^{yByGd=W;RW3;E8dW=GkzT6+=*!W>>u@_599G@6EWpX7(QY
z$MJji8U}zJe<_n98&9G2)A1eKpU;y5m9pM(b~($_ti%TA@gysMbN<PD<<;%CY;v7U
zK0#l8PC*`<CgV%6jwP2@3ClmSZzj`E-}DZWt2C1$;PJRfu0X`_EkglikzQRT1)l+J
z0=Ti?7x`i~-(&y2Og@em)AB#PeK7ZKp3d3NY4UlG&8PY2czoMCFRzn=fz@okDAHSK
z(t8*lF^>4x8d#Rq>(^VXD)5puaG=&MdviUWC+y-n{Rop~>97ZmkFtJ(8C#TN=%u@*
zI;hbGS>LgD$)Af9n`#;)pRfiIm(^@FiM0l!C<Iv-)A8g}vmmGn8hxk7pE;c7Q1M*~
ztvJSEX*@)q@LRj=2zo7H`<H(#<|R(Ph9|JTFXZpCi+oY=1xr&#Od;*DKGqLJ{qLBX
z4=p3aczsLC@BgL8E)#0*HFMdUG}C{wd(m}N=PaQ<!7GQ!bc(g_<<hyYT6M2R{Ju$z
zp@U1{S4fSztzV%soaHpaD^*dp=Ak~@6*uKctEpe1GM)Q<RqCtOq%kaUWvE^Pvwnx)
z_pDxrT7Z?Q3}-Ru;tHR?P^7WZVB_#dT?v=arsm@4<mBBCZ$H=|iO+B@T$RK!X;$;r
z)Phgma(hpX<MWd<H|9_nJ8;8P<G7(zLnTn-MrZ@IhKo3Kthgp?xc`uv)3cvHINU0;
zHLI)n71fAN6Bc+{YiepDPqxN&v8`}e126QUSZj^l+?qBuhp&I+nk<l{Mg)4wbyrlw
zTO-ECpeH_BrW((u){Me{)I@HiwWcnDcewadO@Vrydq#|n(-B%@<7i_~0ajOPd~B-d
zG;Jwq%Wq>vz%)*o&YJvgKb_z0Ex&6shZj5Zd)SHJgDt;zSuk6ESG}ae4wdQr?ED@e
zoe+l*zXNP+nBUvfJi_n3WJCn=8o!T_E)d)uS%RYH>8+9c?n*|iWG(Z1bqhoSRUQ0v
z^1-Py;_7Oa7*PT`SY21+MI+go(MXh_HBF4DL}<8HV?<Tw0Y(hJCL_9QGNSu*Ms&A~
zsLdQ+?97O;m+i3Qg?%v>yWR0B$ZsfZ8BukLVn4-y>Y6G&%Z``t73z!#u(1LoRvTUb
zb$wR_5ibt&aM5m4^9Unak`ZxxW~y-lAsiS{mXHyB#Cd9sWJF&wVkK*t5vyD9bSopS
ztY(Q3C7=Ud)YW()wPwUOhEN3=QE!dd|0xk1b;O?<BdR*fj3~C#ut)T(jWq-St4`A<
zpPH|mE72a&Tayv3r!%6pWkhY}@M331bi3>k9ecY+?6P9EjHr4^haD=@S#c!PSKwZc
z?`o48;IJ(?t7_qH3J*A&F<_X#6&wP7%G43S#%39@Rm~%e7)nM&%&sw_gmhp;1@2ye
z!N(dS29gmgS<8%A-GZlE8Btf$5S(3Bv&4uJ(D^u+YP^vM$pTe5C>suBMBFY?YXl=I
z5m-kaP+t$usyfSzSgDaAbX4>FjOYtSyhzH$j2&WrQ)D+WDMWJ+(w8s?jnt@i>xjMj
zef8968Ye32gaW=^YE-nHMzDT{S#3XiYShCt>tm_W!0|h56kS`UB3Iuio@TQ}Df4{w
zZjryCcOcoze{@czdZK=p)F`Y8$CC9S>4({NjP>tHjS9%0RH`we%7>~<C?-J>3!aw#
z<Y>a7AA3yx;+;ojI9JI{ttgSF-bzVT=80D#5~Fh~nQ5z#TSNo~*U2W`R=kE|QX!+y
zBY4t2cIA4bIJR9Y0%Tv7w|{ozKz@?n(Kl>e<o&A4%VLlMsb@o;?TKI;q}3Eb1cAPn
z0sCCA&l`Eeg9dqLT;S^^`?|=J_6hXQ;t|?s%CoC{oNrap$I#JPU+x@7F$mDPQOd&{
zBJVzst@mFyd7K2r_-1i{_L=f*oB9us1@6KDl;o*Rn(|735su9M<MPbdF>S-GL5n;!
zIqMU9wcoUUS4X&)_40>$m**Kl&crxA>`wwYi5dhRbjI04WjJ>Sxf;0XbB4-vZUs60
ztqo947>Ae1rkZ`4YYs)=P^d^Cr};kM7A?tZTLiQmYVwrvt4;cPZCC_64IXrG=tP*U
z(V>G||Mw0Z0P%Cb>lOuF9J-O$#i4W7j&uAy96F){xwI>H&O|!AD}ipUciE(L^Hf8T
zbx}^k1S-<G72|YA4vZp<GyOPeEl0hY8>b_j>BiNiRbH3rgcwd-i!^YODrt2e4y84_
za3ejGV7hR1X_d!i+NL{jZPJ8o&Hfv=t=WAu-AfnT-*6OK!+jp+Hl&^JpSm{sZ>DXA
z^VTBG?6(L-7}D&3659c99?U~<-6DMyh_yJt>!ZM>RS_N2wian>GS*pWmEW{Zw{BZ|
zuTdY#h1-H<IGh^<GcFFH3=XLn&+DR@{w^)e96GncSvQwWv##c`8QUst?l*aCEt6k&
z*fep<^!8!vaEJW0Q3rqR;Q-vlDgU-$)sGX52po^$z2Fes3;j9)u)BlD=6SBzJFJd$
ze-DqX-woz8lmk2x-(9Tq{egrF^Ry|L({X{ybZ&*YPF|Z1!ocgAqZROw7i~;Cx@{m%
z4<2<9XZjyqV{aqF0qN+t)vVJzw^nh&I>Xnh{6H?QG4iG-Ta3sS;3>=yLtNXQUh~~_
zacbB*5J7ttS{19q#x|}6L&!e>gOTJPFyWft#<ld6GdN5@OwdmRALJzoZv#4v_K+e@
z*0u&TH%XVbp*4Wiz~fqB2R})?P~8~Uf?F5IPtR1oa6ie8{O68r`F@AU7NB?sKPj-b
zeo{Ld;2mNFL^C*Awu2kSI;o6nq%xe>-45!&KxH~_*iRDQzk#<C@`M`!-MW6#<Kouy
zlOkV#iG^TTAQp5qrR=!d)rP{FpQMXZ9sBUadgLz6yR9ekKu1w0$u-ilIMKJ<dLqWl
zZ{Udl^f!1Sg3qfZAYQ$zf1*VGhuYKB<ZWmTRGvuG!4na$?KOHLaBCA8wy*l%Bp!QZ
z%67tM^+W7|1zEAjUzu{lF0V`l?*IBLQ}Vx607d^buS|7=x(y?p#MPJNhln5nkEFI(
z$Ky51aq#s2jIiW~7~-ll8vvuiHvU2!=MZ#j+QgX=k%oAURsE*}NBd~s)%_5#&X40L
z2%X^p|44))B0}8xsdI3E>8zS{QAE~7ZQ@KX$;BkYz9}xGbQUaFA&#$bD3T9HUJ^%B
ztU_KA7gt5};f~&SE#fxB5VR_`UQ)Z4M13TeO9v-u1Cd@ag*b3jMKEC99n9BAXa&X_
d=-TXGFQEk#2R$Jc)8=M>@4v(!j!7j=006#5UYh^_

diff --git a/compteur/implementation/pnr/timing/compteur_postCTS_reg2reg.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postCTS_reg2reg.tarpt.gz
deleted file mode 100644
index ea130b662b9f9b083455912ff6ab62e78ba66042..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 3720
zcmV;34tMb%iwFP!000001MOXHZ`?K#{_bBvARn3{uvc&UW}qJ&+i8vV?8MIXt|(Gr
z&sH3@YwdPloEYc(?>BrIaVUwqw6xyXfdtqbiPCUH%{+WGqO>nwG+K6EF!p1TC9}~Y
zxnlph+h<}q99<>ZWx`IfY;wDtGk>t>d%IK53u2!ia(1D1l)Ll)zogmn3;QzY#l7g|
zPm}qAogA_0<=s5;zc*W%Wa{95L6c{f3&sNGNBiMmKZ;o3`9r?@Q8G`jaYxz8<>Y3%
zNR~5ReK@(f8D&@0ibZ;p$fMZq6xu(0f5CPq%f(STW1Zy7bTV6X7B|z*$8<7FvPGIr
zI&Wu_Ka<PFyt7y?CbKjd$Jz2GnWcX(lMc4lNpGg(<OYryEz(KWnP#&NG?ix8JB@n&
z#fzP{qs1rY@3Vg#zh@W8VmW1ppOVYJ*yj|szfCiCnJwa3a{YVop>y~%+d0mzrjs;V
z@UtdWN9^Sv-?K}8D0HYen2nRs6`079tLubKKC<sF$A5jd^E$asGo=C*cpp0Ngo4E^
zy}nLn!VQ>3fw1_;*<?AL@3a4cX#xEGZ|5Kzjqm2^oc)?6pZD2(Joy}tZg<WWpOP5^
ztJ%S9mfnuW>^)o~c`^BaZ_o3*on3YAdb=rkdx7umykc)Zjphlv_>_JGk0~wwUeM*b
z{t0e7Ta2KW?n?Xc7JX>(2X>zPy-fM3ng*#OyuD$>byZu9YQ4RF7=f;f@d&P2r6SlB
ztoQmm;+Vtn1a>@6;Vh2%WvN_bpNOhg><D@-VFy=#F6RsGffYya{ku~CD|Rti&P3GC
zp%1`WA&SN$qaSwp{yn+>4@~=m?OetFp4=Z!k-HT4fqm8D?#7o_Osc+@=gOl%F88w2
z)OL27U~lj(hsk)%ce__-e4n4sy}s7>rfR8H9wlT{U8*o@VJ~&9`Tn`6tS<J)E4e`x
zq?T?$8Oz;WE$wQn(-?x-FzydnyJv;%uthH@Ln$w%tQB4fBK9=_0{|Mnk4^Q_n>Xh_
zz5BP%cM`rT6lzI2e6{L#!d#{L&2fD8=5!EGV&1q1N0_RE{!pn#2~<aeE>V3QM*TSU
zLvPr5y;}9b=~2LKE?VuP`ZuaNi-i`hNi5)KhH8HhDyyTOFC?(K*Bh8thhTNok4&q}
zs!xUPU!WnguU%9tt8c}@S=^WAs{i-QRPA>oWp&T*q1A&RAXbaZbr|$x(A7EM``A>U
zoc=1i8g|lt0lna)`ZumxI$sv|3Rat{eV=zLhgbk&A%O_U4Pw!+VE{dXJy)o{$i2_B
z+M=2SC<*FDA|l^&A13su{|F-mb`rntu;jyo9v#HMz^faL2}-{zq;rWDg%b%0>T>ia
zV)a0v`~}?xOYSfzW2v`5rFLZ#<)Lwk&}b(&3}q+@<bw!Aq&hSJ@=N+`@c@iXv{2Be
zjc*hKyKsF4#|#`;acF17Q1#8TVgT)Jv*KaTg%uA%7gp?tGE$y9+--psuLnH=NR^bh
z79&;=f=D8?i+^Mbicr>uL5&pzKTyQ74j!(vm;fVihQQ~ft;iYR#<&cORI!CIAVRKE
z3``jMO(OUTBFw1>QdBL$#%RdNC0cCq;1!B<u4-}|Y(gleq>m^DKA9^nGgU)zH3#l<
zibgCZ9C(G|oTr)=QwD5PEG@RNR70_a|C+2lgnNxaf8ccvco$je@7cjg=$G%04EAeL
zZ1(X``x;31%uww2_*gEuue#%ai#Hr{@{WCs_jF<`<U1p)E-JS8alcxz4oe-qjU+_3
z=ROcTChx^Q;+Gs22im&{+*hsf7Ey$<Hrf-<K@rP37>_2I))8dq6txoBC2@q~O$u#^
z1H|)!I&FGW?-ztObRfK|)4&%e?B#94Jn&Ob1Vi$6%ZXr-R9=?|25@(vydk8Ec`UK#
zj&xg~y!=K`^p%S83d9rDm7EvQN+!M^lm=EU5m*r|Xzb&wSPNxs9MpJ0F$85S>p-G1
znAlmcnV=)^1#n0>A?#=53+}uZ4yFk{1j<#K9f_~djI`8XzC8oc2|<MnFkBQHrOpXz
z!Deg&Y_@7PX<3c@Z{Of$VDkgHZ^33leyy6N&1=c8&|JxWhh0k^6^0q;XPahQ+KA9!
zs#!a`4vJ<H;>L5w*vDj(4&d5mQ5BYguM^M&u^KcbHOm1=CTs7REgTRk3;H${4iE%_
zR7MV@(zj<(p^B5r29pb*TLAI7hNnEcz~45h42KSR)NT~Gkjh~@yP)2Pfg=S3tzL4T
znTNlas)74#kZ$TWK~nm$8C^%WpbVuu_cZ!O;Y%52=oXZ*bRweKVtF?7&RW;u8SpJA
zLrDOZbH}0mF_l&&HQ1V2hr6qq0l+dAFp#p!P|aB2NkFripvNaCh$%lx;;gbqbIa-}
zx);l&Z>~!|QMWb2AA1MFCX;YugYM0Ur|XitNed!!HfA$0QA(Xn*vsbx^o_FtCfkeS
zpf?D?esh6-ur*_&;x5eqtu>asnJktagteu483CS6G|10pATpeI{{v?e#c|Y)`eI%N
zYU1UJW=_w}D`%FtG*fXl(gm_OqRm75g=V_)9K1akB*aw$7Z`?o!VI1b-p$D|yr3;l
z1s9t!9U57RwHXZ&2Fz?~1rfUC@+*22JBcF?EcpP|&WMm50{>Ol@RAHQoO@ReHIfm-
z&UADsg1wFnlnVMb6&uLqyUn@$aU(7-CJH}sF7FS!+vf7!t^=2cl#K(IkA`i6cm>j#
zRqJt2#Qz2vyMhr!lN?@heBoQL7D{)LuCSsE8FUNESUT}?FoUAlTAK+z0;K?lgcB-K
zicKVlt(qGri2HrZ42H%DVyouH3F2XwPHU#r?U*|hlEPx@*~ZpLf|zR7j$=kr{WXli
zmY}WZL+m7l3@t&!<Y*a;po<6qfmjU_^$8l)x2bSIg4S~&Xc9UrU*v+SO-xyZ830c=
z)#?X>t<p1p&kF)4de(KIXWed_YF&YIX4QJs6XD;|vj+66E7CxZLC?U|tBIeaXEe39
z;tj5@%uT`bdnEy-9C$QKReFAJ(`-u`x@b0^g%?Sbso4)OvljN{+eIR?M(G*Y+>PU5
zZxD1|i=?8oxnff6*-7yFHJ=M=rfpp`o0KxtLnTO%+cY%~a4u+sd1nE9)mISSgCdl&
zs5zelSFqWlc~fJk#ty`dmQw0IDN5K!?u>F16QWdW+!axTvi40baUB$~taE3JCYjcm
zY|@Hq<$3<lVfdn}8N4LhN7ZT5ix=xb>&PYh4qQ^Q;7TqDRn530D0uQ81&FrGCE)>!
z=fWjJ&*k-}?r<o_?dOhj5dV+<QGoS$$3bb6p9D~7LO?~dpplQSVl9-lQBb1;MG%y+
ztOJP3U}9&%W`d2talj$rgo<3U@=lv-wxysDCRb@Lr~10;X*6d{re=sL(ckdQMoFBP
zc{J5W(q@$7$miU4&1k7rv%U!WVl|V{pPzf|w{sMEbQOF-xeIy?mV7UivD90jQU_o2
zH@$me?uJt^7XwX)14@7s6dbhig%f|^DpH++1Jzmc;tM?2Z1CcXnxpun6K8?Hb+Qw>
zkewhLI3&(`?Q>1{;K0E_v5Shw1!DYd6K4$)XW)<(WT$ct_XEj}RdYFUmQVgGksXLC
zMRrgUNvJBa12$iXXM{9yX4j0E4k<a+MnUtY&|UjG`g2Qmbf1(Y>?C{8i+JbN?PqNY
z&j_s{SC!Q<?<#aSpaf)x>f2N}AlVtNAUm`9B8%sD*`@g8V?}nSv_ridkEuq?>U!EQ
zX!!niKXe1h^U&o(H~fQ>>p%b4AE?hQK6e1zMy3bui1Hi+j=VVof(?37E3D)ch`?g4
zM7L~7VXrE%b+U>gl<xT+3H1s`3UESJQN+^e)-bobf(oIrun&q*nmHeEO{m1%#1bx)
z@l$om+T=KpiZ!}v?k8{fckct(V<g@o#)SR+8KRV!2v4isBK6G=k$tjCH)6h3x4syq
z0lW4F^zY}629KKi5xt8&h3-q{whO1m7qg1YXmb#tTNXaZy&pC;jD6x%C}LSZ=&LOy
z(GKFOh^)->7D7>n3rYp0`ZQ`shdvM)lkZ8&be>#JZj;%a?GxD*e2FB4&rc<E)p?gS
zhY;jtU;D{e-WBqk%>VqF_xoF(WfcT{hgnuZ<nm-}I8dLne(ngl9lq3*Fae^~_*RPa
zIfXg|eE4>{iZYZ9VN)Rk#Tb;atPh_mgwR@g7O;)LD9@G{pP(**g9~YbF0y<#WsUM`
z*5n(kNhpU<6W0N#jgo*iL6pKqq<a<U$PX#fCZXJR*KAR)FNntT#uCzj=MD>xN}RBh
zlqcu6PWry7$d=%m*NA_mUlqdDR1D=Z)VYVe@)&d+EV<L5jHTWJmD-(~KQuFAkAz1#
zq@5m`QGMF@W^s_SBK4~wITfh&!yzpzLZ?;bCVxak;BCu_c+biKR1Q2XE7A<<K@cf{
zLjg$vPv|PjSUTaQ^nb8tbtZ{|`?t-C;JF&2BR}bu6+QBA3$?5WLhvb0C88|mp8o3C
zQ)ETzS0mQ3qSbHVVcfU5A~`DXJI9Uvv|7uGRHuFoR#dn<1WWQ8M2<f62iDqWYvMZP
zL%(r*30rYN5z37dqQ}e<aow1`(ULVu1c-^SXr)8Ob31%QVAZYZX|p?GdNlfXn<ApU
zV#?S@%5if5(9vJa5N$X?L?UJr`62WpES+w5zy^AwgC;>xqX;F5XArA-kYo}ib(T=T
zpeU%*rkj`QfopkgNd1(+Iu;b#Kl}<+815|#Qc&NrAoflCz--e8X0_l@`j7$_#2DpR
zWEDjy-LX-^gaVNQmXK8xv2+5;+-?e&EA1wzxtWJ$PlyE(SZrC)qocuNri5A+1VIca
mL&F-uN7jfShfO4&3$#UNgCvtEsry<iXy^Z2;p+YrfdBwLG##P<

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.SI_Glitches.rpt.gz b/compteur/implementation/pnr/timing/compteur_postRoute.SI_Glitches.rpt.gz
deleted file mode 100644
index 19be1bd2faca152a0a0248bda4255889eab62520..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 90
zcmV-g0HyyQiwFSe?vz~s1M^EQ@k`82RUib)aspBlvtjJ8%+zu;`3xla3`}`0WiGCe
w{F1~Rg}l<-q|_pX{4|C1oXnEs4281H{G7y+%>2A!D+L2C0LVV@dXWGC0E@3HiU0rr

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.cap.gz b/compteur/implementation/pnr/timing/compteur_postRoute.cap.gz
deleted file mode 100644
index 4595b67eb2d84a6cb9b6f235234fc7fc35867e68..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 449
zcmV;y0Y3g8iwFSf?vz~s1GSS&Z`&{o$M60W1afHy#F8Hg(j4b3Td*z(QtX9c5HyGF
zv{{eIa^Zga$*z*d-C>8I1Z<EZ|K`s$JsO>5(FouzDzx0;9)3K`p|^tXQI!ZQrSyGM
zLo$mKIyRK1Ea@bhn^5><*FrTdw6iIj<`?gD?O?S4Q$EyrayjgxRS<vn!KQQoDJ1zk
zyP9V+NNIA_l`pUscWTh3)Y3R?Y?m&ylw9pk^G-;7RKeKz{yW_`gt5Obgav}F(bf?s
z4Y?KCqH;oMvbFjbmaZnQaoP&3m}(?i@uxv@=tqP!6-uw*PH07pvcxwN>MlBO_}%o^
z=z#b+*KRA+^O+v)18)m%4&TNMaz4JPc=`M30IO>2-}SU;hmzaABpOAUmCAifzDHfW
ze8V=&BF$LutS79`vV?pcBG5Ra^yp8HerIDEr-Osy`u*#V%P%Q?{*;~t0s$QmOas9z
z5d5Qk0fKZukOYD(5Txf4tk$3YN01E&CV_wkg6u_S5WN!WR?orxM2o=vm4oChEB%Ju
r3tjc=UW4CDUjh66---{S{IsOgTI3a6*vCcNUB=O0e+*8Hn*;y=P|e{s

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.fanout.gz b/compteur/implementation/pnr/timing/compteur_postRoute.fanout.gz
deleted file mode 100644
index 5f62b8eec10f539f46bee6a11b9484f6bda04ef3..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 327
zcmV-N0l5AjiwFSf?vz~s1Eo;GZo)7Oz4t3D^|DQZw15!w3fhLm27<$mC~FPN);L+>
zqVo4kyN-=rd50*D-}8%K9AAYf26#Y1Cm9QPJ!Q~hCJUq-VL@b$m4|fFPm`WalEE%*
zB&%&l{KZmHRYN@)@5ZB>N8=e5bFlfzkJ8&q7ek%+B^0Zi0S1tcvf(rvPGFFv(}q4r
zuMTwKk{fLqE7!DVMr%pM-##mi-zw<Y;J+~yBlN;KR}KW$);Jcdt=KE$kXVrsYiHgu
zXD_&7;}n)Vsx&(FS)usx6H40>bx=rFhJ+<2f|;U2bY1vO`p?K9Le8GoivDMM#*?h?
zB`u9C0M3^VUA-+ox`!E-<vQ$oF=2yRx|R{eQFNzhZ!+LFbO_2r0$SFvmlV>1vYCEV
Zrfk=R@U6guqK&p6eF4^FcVlq^007=Yngjp<

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.length.gz b/compteur/implementation/pnr/timing/compteur_postRoute.length.gz
deleted file mode 100644
index 0f2e617576c03454f8ca6b3e4ba40ceb09343011..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 243
zcmV<P01W>hiwFSf?vz~s1Er9`O2a@9hVOlffn4+uH=Bl%=GuT3go++KhL}vtNOxx4
z%u4$9CR)*+`yU2|e?C5#B)KY!1mGSSL#x=s+o^)@Y}y{VL)bFQXB@zmcQ#9XmgS9|
zk=@Jr@Gp<VafGp4HLK$0K?a3w4Zb@Kg}uGB2%q8CY1wrOkb^C%<+@sykY{#1pVv6h
zfiEnbIIkGPTyMm=mizy`lEWVgQa|~hB`QKX&6)xj9K8fJ>b%*L1Y{)^^AzMAI~|Nh
tl|XoGI64gU5z+km3^{*9HxaFpu<;zsBqKg7u6us6_yRSVp;*2F0008SbN&DT

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.summary.gz b/compteur/implementation/pnr/timing/compteur_postRoute.summary.gz
deleted file mode 100644
index afc3e20d6c82fa8a10947ff8d1e2948a3ee95335..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 566
zcmV-60?GX!iwFP!00000|E*PRZ{jcv{@!0<2~8@Rpeb|%`t3Aycau)r1k?5rWyO@7
z+N77HJCOMCOW&Z~s&QJ+63Dgv_=z3Y?e#i|)dTo}93|DbhL^n$x!lk-@&MtMbMcxg
zaHm6;3=%>{D>sAOFU!D_{9-)a!ESoJy7ug!LTR|2LlW$j=k}W{f|uZD^Kc(%fDyQ!
zKc4yH35<w4%fjcVSjZbJfrt~0sm$CrB919v*ZrEsSfF5#82*JwHNwFB&zS@VcZrbN
z(Q)Ezn2^Xd<HC86;x`6bIXcxsGK^L{jZv~cDLNIO!{Q{u*dVB8f;$P9jv-?_w7NCF
zXIX;|Vzt)3OigFx{{8hdq#Lbu*=D}{T(b^2^AdHMKrGgnKW0W6MY$$19GRcd*0`Z*
zq~XwosT{GpCD>f`ePbj;_sYvTjW(TCT5fBA9vdJ+NE!NGD?m?7L}oB}2oF?mm7g0)
zRow@z&h*Wcege6ZD%2exL9+t%rAB;2wWj&p-|Xk{)@rgq8nyZ#g|0kh+4IGds-oJs
z&Yb1YdX_s09JR7F%2@R?)3cCf|Cozu_y1F0&Yh*`OH6mGfF_N)J+QrgtrY_@9A)#;
z>OW@DlJYZIyz%T@mJQ`*;hmxg*rcANoWgehF-wFz)Z4FS`9IyY=ExP(=8JSS9Zsg+
zMYZm1m*g+t1^i{^kl$Gpp;UdVm^QP%Ur>VBKo~I{Y~i(dJq1@jkZz0b4SPR9DQpJ-
E04mQRu>b%7

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute.tran.gz b/compteur/implementation/pnr/timing/compteur_postRoute.tran.gz
deleted file mode 100644
index 286e3740affe7588d5904ec7542575551f8c5c7c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 499
zcmV<P0Sx{hiwFSf?vz~s1ErHuZ<{a>$KUxBC*`G`lo$+TkjIf_i`FGk+O?ae33=qw
zc>|jnQ_*i<1CCPCNsH8z!1n*{d_SM;(dbp?i~v5MK*cof;OFBEI!o9NMTW2xLO#?L
zc+tdj$EEAi)N51L*EaBIS6tK$G|@Dj1{WV?rC~XTGJC87?{Zj03Y&aq7VAs{Q1F78
zAJ6;<sO!aT_#7*KF9uaIS(F-U)w&Z|6in=%`!z4H&w_Dj>ThMO5ys{}=L!fmrBs^e
zq9l7R6$;ITBpW4vW2P&jYb_PWJQa0;ivO*V9O@BXlsOhAgJ~`WDTN}Y7#H`>>zdzo
ze}x)|>2swwT%7jw#6Gj;Mlo??4%!RDl@+dYmi;*h3Fi66oO`g(77M1j5NG7bG!yze
z{o%Ch#rt%<NT`=~la-%#-jtGWCn)ZOMy?{=u<wP?k6Yob7k9$g_(G$YG4NX{x%#wR
z-F~I+i_Kajupr(5#J3=!1@X=U33`z52ohM3pa*&PW$`}{cK|ZAAdv-mnfosg+IPe~
zb|kPJq4C)u{s1JjAg%@R&jX2ikmv{!S&--q5a$gSdpQIB3l#!0UjPMbQf;mqJaCzJ
p52FHegG~tRx>q<kWChPd+GK?+hYQu;!tLe6c>>AMk}|~v000R!?RfwI

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute_all.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postRoute_all.tarpt.gz
deleted file mode 100644
index e947945b23dd82f1a1f32365c576ea634b505e58..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 4711
zcmV-t5}55DiwFP!000001MOW~bKABOe)q57Odi@!#x`%dFf)B{Y^TjMO<cR}X{U3l
z@v&J)txdU-oW^r}{`>iGSztkt5==_2>yR@xAQIYL0E^uZ3lMtttkt#kEC{~Ji+p-I
z&(DMZ+`b6pwSRh^7iW3!x+o?eZe~F=+=;^N>oAN*k@(8H6J1ah7ysXki<^(Z$6<fe
zPe1>9GMfjl4}$Bn+gTcY;c}T2`pf?U%Xeq<Ac%t~eUW4@l3@^sQ6`EX<g@Vw6;xc#
zCRf+<{AMa1?@z9-PK$Fc#e95~t8WF{*WiCVxtZs|HvS)sr@?Oi@p>|y@6NBTcYhmC
zrg<?R7n9wi>E!qPY(Cqa-^?e|aeg@}Zm#m__|Kbsm-y|DudXliD}3X0KAsf2*Tr-f
z%*MsVR;#vu_H66ubpAewUIgDBejmI+7X*hN=lQfay+r*lPj7?0*({$5roq->aeh4+
z7jseN$>^+@{}TUOw#(-qz6j3V=VyPQHUAoeUT~S8o<q7IKflO>$#21DXP19`w)HZ<
z7#ErZzCN1f7a+0wT_6a|r{jx@d@6OIj(`;QtLfzCdiEmtFKGU6YY(E{&c?Ig$8rAG
zi(qy+`D=9gVe8%eeLf8!aj-X?jz6F}-=lL>FN*)+P8fz;+vVEY0x}ow#3=PVIC_6N
z%Y&2m<KLhv3s<xg_e81vqod<s@BH_h*<5s0sT^_q0a`tspQ0UaOC`j|5LzB?eHk3*
zf8LBmW4L1LZ-hG;IBwU}jmU;OgRBo)Czq#Ze^e7v9DC`O{LcR61O<=BsOzEVxXMe_
zLq2*Q9H4FU`Z|kJqI^%$e;%AnZl-cjlTs-qV~n;%`MxUuWneX?uCB6tsOfKS^m-mB
zHg`<i;AmX%kHMX4Ix6!vCp{2%_Vde2QTk2~t~*n!JKN)TRcss{l7hTLZ0uuxhw@0Z
z8i_k5t825Y&7P}=I$<UG9m-R>y=`;bwl?Dt2CNm7=OEUv_<R?X%TNtOVag*_ov!X+
z#Pm{Rsc)EJ+5d(!kre9KoE*G*b^P^rKSiJ<HCzz)Wb>WNMSSyvl=s!)=-sQg$w(T@
zQH%#Jn>fitVKAf|vPqWUCe=og*pI_eiPrAkGB&T@{`gZYrDC;d#O7zgMm3s}AZ4{F
zvB`S6Hc28nL{=;v4v{U@CaSJY9h?0(-$+g&D4D(yEp=};vNo!390vwb?*f-i+M~W1
zWTrM*I$*UalaLLr{uvc0m$?hbrwf*%HlnwM3cyy&9I`O(h7B7^Z1}A$3!5gLYTOV3
zMC}nk)FA+-Iq3fNB7n#X0c2{3b_ihU$m$S)Z60ozC{O9bBLMDY2m!#btQ!FcpFqxx
zu~~rtdfI0Sa8W`4Lvc?c0G-45Pg86D?*feg674e$twjW29)TpB*~=eZ{S=pdW_)ip
z3qDhud`MkplV+kDq%#`|#xk2>N^KiW`9n)Wl+hQ;bJuLkEcnbwKq=)jRrCElJ~L51
z^CX|&TnGDN{;OucVoE^Df$1%D(3v{3M+d>@zc!ybhthF^!2PGrn0d$eYc+lDVFIe^
zPCZyofor4aaZaDp)MxtK>-jj(;S+J5m>7zfjlhF1l##Rc+}iz?xsnUD)8`FNoTVj_
z)4!62>Id>J<&l~hGRtbKU#`7wp~Z&ccPLM(pL>KLb+c7l4JLJIwmPixRWKRCB`zUt
z=D{drCR?7Qg&Q=a)pT*FU7v6VF6nqc6D-OJ*VpIH;vz{0qfwNE+3w5br1#z)#F)Fw
zLPl!P^CA5+CtZvr6+uZ}h|oBsqoJA%3Q^U}4P5#?K4pj038YR3sVns&>9>;h8!%#R
zEn8tVX)U$#n8j$I<t3?I(ovtJ?#E&Vi!0n2Mtm}>Va8wtS{zy|k`{Au)kv<?exxOw
zP<maA`X#Ad(vg-GbK{;0EkNol6;&x?Fu2(R&@#%mNZQ3+2>!}us3mQOYI(#r{!Er{
z({n7Js3{uKL}hKLd;^xBDlK&`u+dU$?PY{iJZ=>n)%10uR9q_;yXSA%b^ntZP%1i~
z@|4;;cxYAA4P`<IZp=w0AtL2PD!WDAfyq_2rb5+W!WZb(={LH$ik=m|u|CT$qJL%l
zDD}X?Nj(<EqbHArqu$_gV&N?Fz`{c;;&?12Wlv-&=>f3tYSSnUSmg>*qXi^wXvp43
z>hd4ELs_Jajit3H%*s%f(k51OmBb~OD&zKL^QjOps>jVSCu~qELpPp;fqxC*CGb}e
zCSYoFxS0-0IFg~?C4>z^WfC(M%LoHS8p4bC)FE7hd^v`6k?#`12A#SRJCM4Du#$Ks
z>=lHoQEx0lMx+%NNrE;W4u;|Go(wA05q`J#I*A1AbtUGQw~nye#+lVM<n988a5PZp
z9N=8LZB!%6s%CQ1WAe@`6Ry%@P^%$qhov38t#V}DJ|(nEb%y4P%fvS7!R|WjxaKA}
zQWj}r>&-NQvXnNl*(8!H9Td?4rhL{<@lgdA@qKQoSrow?i8bV`=xw!G!rWfNWzwYm
zGAWv)+bAWJTwQBkChf&u=ys?a$EJ;1w^6FwC`F3yq$O);pD{Dby+9eb*4tT23b`e0
z46HyQ6KVBi1AOdUV59Js0?VO}6$=pTvWfd<nN;V%TkX&xB&Xw-J-tk7Xz^I)BAeP}
z(tER!aZOblwZ^GUzHCjGO*$l$H%LW?;1Y37vHgc?QzpTh%`{rM%)&BhNI~C0Pc4`V
zHV?nGsNc|MhV6YOCVQRFw7RoqpP39jd}bWQozL{Zo~5~i>NGQh(0ynp67oi6Xh;}O
zxpTkUT&!Aci8Is9ibPsrmAcLh`pfJx%}rDk$$njZlTTFav$8YOYfqkRR`|>%>#K56
zQn;2_=Y-Gfi`4+~GTLYMwa+xP7Ja69gm1gf%&w%}pUr~L)FxlHrpqSP8;Y=Cmzc%T
zEakOP{?L-JJs^6QyJlNv(PygF62Zp0=7;y01CQmD<y(tRUdy*?n!c7JJ*V8A^oTbX
zg~P|Wppp(f7E};A^l+a^tlw?<r%yQ_U_oWO<U@`3+??CgZiBpsH97na<&idy%2@=#
zBU+TFv`K(&rOd9Qf<iJ6;`&2Wu!Re(rR=$^0<r9Bip}9>&xxSByNZm=YI4XOomu{n
zl$oQ`JC{JYFZYp<KV_8Npg-0P*yXjSXdpKlG0JRDk&$_E$;Pa#lw@X0E47rB%ylau
zF6GL#l}t`&NoLXw_$fFe$XrLcZtDVDT;Y_hvfDw?E=P7_(>Au-nPY*r7!S}1c_D3V
z7`Zl3p3)}fkYo~qQC_6Zf<+Q>;K>xd;m#aR&kEmKspXQz&;v@UHzg`}spFA=k}bBW
zWBkK{3kr`IN=A?LHSbjHvaf!HT@D?onLX@gU%fXc4I^cmH*MxNy?XHwP|_KD<R8c$
z$d)(gQuo8=KqhsxOtlP-DExtwM=5{9>Q-5OS~N!d4&^EJvbW{h)FDP&j_Qik#iFT$
zzFaeGzm6QfS;wXle3aSnEhCIgMQlc~QCKozuS&aY;=X#<7bsQd1eTOpLQgt2Q}g0?
z9h=e{*=CpX{n@bCjH*rji{DX5?_(u$`#u^R@ssjS{{Cnb_lF5$OYVifQNVDKO&tLD
zVFNJhT!u}77xDSEfX3xM9#Mr<zCwYr*fo>!Sr2f2IvS<DbRc*5yVMNKEwg$1?zr+D
z1s^sQwNWZij8e`XnqFd~cg|xHBsb2>+PHBuQfTGrOVsqZS!ClXw4EH)u(2k>F*ED>
zKo)w_uB}7x78MIk!KjiKQh!IIb%9iZQlSf!r_|oTLmRkmzqdY-hgFcVWkaATf@k!s
z@a@zaN-Yt5)*ithwnFg#gkW%lqmCZsK}~(1gPIVY@j>vYqa1FVHgit_!IMkSCd$C|
z&Ea&-iEN@ajY#+%$|G%TF|AqQriSvAHnE*6WiBCOd1g)7imJs?RIm<nyPJC|*F)1f
z%B_RJg8|=Y!$P)r#ni~Gu;@L5!L^iI2ZOUdho8(_og7-37lXld7>xykIc2LjR>9ik
zXbf)JUUjf+{YHjs1LY}gVp_=X2@^wkkvf6ZG^?6wtMH^5oC^Jwk5aKy5VjSZ)3d_2
zR%!{$qR<1EDO*?>S;eDuyz|)T=*)*Y|09YY;}U(e6GE=laaEi~;DO3|KzPz`ZraLq
zsI1A(Hf?Lj<j9_q|M(rsBmL*2vYtG;a1*Eust(&-L0O?P9>?R@$;ErLPt4hvHjI|O
z`dCM~E<#8=MCh)Lvb)?JS;atZF({~ZgW=Fgdw^D!a%Tl3*&B_r{xIHsDO$<I0T(F0
zdmX=gDPAUIJLn;(4`mlgCS{pj%4VCnI#mUQrQxgYK^&g}nJK7PrtJ2hb+t8=*X3%h
zqy7|;B-L3Pr-~VD`Rt5FTYoiqod_+Ui?T=?TScY{l%=#Kie%EcAhK6^9&qKXl<m!R
z2P4CfoSYTis%C>6dR9S5een9yBjlDZ&bNb*pp6$K9{19Gnmx|I9!@D=4Iz`zXKB74
zK9QFsU?w(xgxqSgDDBr8Uyjh0h71mwM>~%dcjzh7#>Uc`5^iWHPiYgoxl(2~um^>#
zfC-iJa89{0;N(epF|fzaGj%B^`g8<<CjF8dJU|xmz#a>dacH9gd-aqpw5g$NJHd8V
zyBz8Brl*fxkjxI!bq!dq4V0&}$uK1&Qj)KPZz^Nsm4nkONY-QYtnjUsS|J>Lu>4Yl
zv*Jw_EMB(#ftiNCF!NAhvN(E-VKSK1WZ;2u@Z%L8VX}VqL=I2rFpj`FPXOb{)5^=i
zEM<#O!Mepu7l~9ZUP9F?(39E+4az*2wPcTA)sMqqKvq*$3xR%=EyiI#JHsij%L!N)
z(qSC0<Dk&D4c^_>pg|{MaGHzqNE;7Wt__r@bYmE&@B9i9f%(e8>4kAPJuAFb&?Y$(
z#aZ@40MpsL7|m{rGx=+G6|tO!0?i^iE*S*79(!CTijqed*Fl6ljeRf=qEf40xfo<g
zw>0QKw8%@4)nYai7R}kXWuiNxtUfuqk?~ZfQggJ7<!$znvPk_6sj9g)HzljXK2nxa
zFQaPYuD~fIEXq(8sT+rZYT_ZGp9Sl&xD8e@#CObE5Q~`Ki#Ct+7{<TgP=*riI28w9
ziX9@96AM@=k4%}blXc~>9`mq*Hp4)gONqAa41fJyRg(1klZy1nMxmOMI@XQswJd0v
zp&>HFky7_o%dyOqgtC-2AH>y?x*?!}^RtRZ(P<Pcj^5JHvg*$kx;Rl=ja5qR@!S9<
zeM<asem41#PjBmfG^qk55dy@c<F~4Vo4k{{&{BUlN-|BM>g4_xR(0?g4~@q!9w&Y%
z$>&(jEbBH<d5j`c#MhWYnW|utaI;Mh2-CKSnJA&L{3GR&wluD~zT6T~p3>$<Ru43i
zme&IJTsQ;$L=~%{Qf1WL5I0Z>->*3=a|Q8gtc2gjV+LIkk0mZrU`f4>2|$BU$|SF_
zp?InTUB)v0B8gW<xg-*+ByKyzXleTl>G>xGm#ETOGd(u_2vxZMc-HiFBJ{vqlt=16
zgtZ2cB2X%{fbx{uJ9uaZR{NLwvSHP+9F;@VD`>>&S>f9$w!uRBC~*S-8vTG|hlPyR
zstjyiPaNg3e{^&l?4AF9Gn-%K#k^EX+G0d`ekjiJ>HKtD%x_C2#7Dp5QadbUnohY*
z<tSk}Hog-`*cRiLNeW{zabso3sG03lthAXVYpMD3kCaF1Z%CDS(dMRPb@)fhQ|e_^
zjpWOtM#ddL@~4f3u%bXVR8(3uONWK7C$NdN=MKqNw$w21emqc^nGz(>Ly|@&rJ@w&
zDYbX-aPwHG`2yNTv5-C@eias4{xThn<1Q|wT~UXH>hPavAZEm#!i#mSp-`h)q|!8v
zb9AD$&tM3ArYA_(4ur^(QtD+<joiy|5R-fDFldtzAwl~d0U_8`GM=>{$b_<(+>A>p
z*kn7S<QiLiB&h!>g@dThS`p3M#!hV3vSOymGj%TyVm$I6xw`jB2`F7Z{NVyidoC>v
zhAKxZIOD&V%ayUluYhrKtTru_Sxj8j25EiN|0?XGehshkAOSq0;oD&!t+*Zb>97yk
zFc^iy^NU=gkg6rI4*Te~B7=&LltucKu@5tzqRN#4pbq=wW-KAYW=@+7|8&?#jY2*}
pp+V`6Pt_xG#MWXTg&&ND(-}%Ns?Bx<Z3g>n{U4IPR#-Nk0037GFYEvS

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute_default.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postRoute_default.tarpt.gz
deleted file mode 100644
index 4ba2b88c543bd92237d10f5c19e73041bc42b124..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2808
zcmV<U3J3KciwFP!000001MOUGbK5o&{;pqv8GmRx8CiT2MS7+m{Mve&vmLwbrE_!T
z=xoMjBU36xIq{tCzuzu^#S10Iq@={Yi}b;S2xxZ!EcSVTCB3t=)~B<>*pF$R7Q-^V
zVgGvEWBhYCyh-!xl%3}J_+d6>ZnWz<-HGFPiHkouzmg3_bNu@z%V(e1rzlLq;MGs#
zX~|BH*yQ?g8o1xvTE@Bj@!vt^`E|*d$J}7gkN5nDd5#-n^P_Z{-BLrL<$8QSDbrbj
z)raHz`(b`#T2W^AsrVJ^PC)<VcvhyY3xAKYg7wl*lW|e@%KJ(0eKs!Ayv*`(@1hw0
znO>LEUO6krMV5||e0HA}*<Z7?NBVl%{bZEh!!L$qHqLvKyy$_lEWhonHTF9@or__4
z$J{;kx8q;f8<+xi{HaWfd^m#skA{zIe>zPIRLVNX`ORdU<t27`oLuMSKfHhPae4Ld
zJ-fb3uRp+Oe#}4~8>Pb=u#TlSw<#OHXWw0qK77|XNN=-Tih!RdMS2S&dT$vDD2wd&
zHZ8ah)Cu6m{#cA>lj$D&_f7hKI2)D!>Fk5KkJD_*e#_F2du%!ye@uoCo%8Z8Ef`qM
z_KPBWfG+(46C`F5|2n&l<8->!9_t3Y<m`IT>NUH#8%|Spb(g&dcUkzjyIvn#9bQ~q
zvi+MsXVVg=tJ01%{tBL5ltUQH$4U$Q>VT&^ogdg``qwPO0kO@Nzi@VA(Acf%8;Nyx
z2XP3pu13S_56cA!js2j*e{(n*L&M7q`g)9$xAY_W!K+@gBN*GXzR%bS+xLb1*X(LM
zEBL}D#}bZaDC%PSP_+MnX|Jj4D{t>e`Q0!2dCi2HxnVB5$a3>5n~R~NHfJgM0p2-G
zM<Z-KmkVsJdNtQGK3}HB(!nM0JETTen|G)TSLsH0M-^pnJ~w8sMWwtLEzLVrrfavW
zOI_WYEP+6v4K+(()*tYF&Z=#w2iTa(a21m-?!b!a2T-KBF@nqC&!!SCp-#=!(aFi>
zPjBD3Ac=c83U8?9t*r%r`M~WxIZn<`&isT2%*2BVTaD+(*ccR235tH~!%gZ97jfu0
zNkvv~|8r_i&whL7ajT5ptfc05R3ipWSP&S!si=wjvNyhu6T&+dL=hA#y>XZKrcTY_
zo1eKR2PCPv!I5gMhWAFyjRj(0>YUkXf<Dd7AXdGJgMrbTst7*d;*WBHYMVJDTt}7I
z8=P%)0f^PS1Vsq$N{z9UjODknBE&Qftlo+&z+ImOxLX!rcn&XangzIxSU@b6>6Qh2
zc6Du8fF2$*I8m9dU!Mh-NJA_Dg3G2^0OA4xnxW<i7SNZti3Fp<0wTP}S%5471O$PG
zfH{*az?ZnGWG%7)RRtme&<=h*dFNGe(`&6}0XJpX2dk@Uf*7ZP16qWNc`=5qwH`Eq
ziCP4<ksoMp!n1C(fSWGTDS?|}_?`zh{S|T3UmrL9EpC$A&~?MO+4q{@W*lvCQ$s>+
zX^WeBXf#G@l+^ch>8tFXdBCm$8U&XXxT!YJ5R=sot+IQrQ}YCFIubW=n`Eo;LWP^M
zgt*DKZPXiyn|+C!O4cH7swz-Zi<_<0Ea0XL`w*|HYJv#2mK@LmfuIOz0qzN@Hv%`c
z2#R`KnuVLX%>r&ZVlxZ-&Avd;=fTZjMcj1O$4zI8n}+A`;)Ze4j~d{n=XzV*)R0hH
z+Tx}j8Uq>C8MD5pOJ4&w!GDd|OdCN6E}O;8S~XALW+ZVF_h1#=?9-8>CrgN%As*fm
z(n#D4C2lHNi@2$(;McXd*;>s4ZpyIFk<L~V$XzA?nlJjrantOLz)dZ}h<=s*rf#!{
zn{>E6;Coq+@hs=GeSw=-X*rv)L(IF1tShFW$Oj><3O;D1Y>lcT_Ue!JDcdYbb)E|a
zJWtBD4@pnacViN*BIHtB_hqJRgP=jmHVpbrz%yR?u=X^c%u1Pmv_?*U5ovG!y={uO
zNy-*hjz=kBNJiqb0+@r?6Vb<r;yUoJP^#dj&eQ4)EhcA?bFY_{MG5e+FXk<*Qb|{%
zD5Gt)Z*l<a3vWb2Lsz|dglZkVt+mPxqpT;aVQFU7J~1bv*4gdzT>_!Otse}M#PyvR
z;{T$&{j(zv@}|5-ZT=d{``wh6Cm;n<;+8x&!1Gp&w!VgukQWX6e6TMV1igbL^3J%x
zHw*SPktgjFXOej`K>KWYZb%!dzAJWcU~0sRWS>OSK>`9y1eNkIx5<YOWSisHOdf|y
zF~4~dqJ6eJ*Q0$UL>4}hpd=2^XtK`&2V;JvJo~g79-HA(oZT9E+BvI^-R?WB-!Gkx
zY8bK9LoE8qqOTaV?3l$TV6C84305^hp?T1%1S``As7%+|pk+QC0tQjc!rL|W@#P|%
z8V;L0o~K{p)If$7<kdMfOf%P3k*AGs=fu_4;M6?b9yXwH;s|ajjf1NHdm88V2QQPx
z0rh(N$-6LaLgRdA<tOjH4H`!vAOnCRadte!S{V*kda_PRw=W=5#T}?wH5M9?gNk&m
zjfBQl%Zyw$dz0338#$pC)*^XB#zb+@CU5W~imP0j;#xNK0DAtUG@wRGT9vt>v>M`O
zK0pPw8N{tBP4O(-HjB2^NE5a#XKmcJrKHWne1aY~A4qRBh@9R&KPb1Po$sIeF0wY;
zHj}c|Nwdc-MiG`Ycc2KHe4q{qTWroL5jGA3<}7e&>a@qU4I&aY<>wZLH0_L4tZMU}
z*6FuI)~L^XP?>%Z*p5wn%r_UD#1!H*ZX`OXQ&gC2@&vl-J5Z??hW&`HTD0P}9E*x|
zRi0Mk%gcCLjWb&`O^ef}w{hNuw#3o~4OrUe58JLz`TGrRUy!hO@Gtzh&52$nPYa?3
zJPjgtgX6Zqmv0!fk8j@wPwO@dh8P|;xNkM0jf#tKZv#}Vc`$6m)lBOk7#j2{^bwUc
z=`c^)pexJC8vMdMC~6|kW*tq>*#?n$PSlXDRjf1kTCF%?oyD*e`{Ux4p0dUKK?xc=
zB1I68A?m`|S>o#U`vzk(#cd8q5DHoQu+i?ts_hw;W<xmM6d2YB1}Xv|J0N$UtG)x3
zdige`My7o>Hwrv692hRWj2qSYv_XzcdD{2|!qe&ov7@K~I}(pyFFnJ8s;lGmbCF!a
z%S}eQ@CN3Ke19}-^8P5q?gs2AbOs^_w-0k$c7*TQLYUe}DpH0$`-1V%3^@Nw>?m^W
zBbhbXQLVVu*ijr}Dy+&sL|lV8prjlpTXnJ8U`M974aI6=<?R>dmVc->@bwh)rKJ8;
zmYMv@tEmjvRhOIM9Ei>24s_Lbpi<knKK}?EbHNe+5GW3vq3wvs$<_I^!9PrS+W1y<
zW1%tekGKK<5Rc<6<sVSBi5%Lq{r8F{k3zZLOFWx#cO9_n=^UCLH94CJ1NVio51G?z
zxGDSck3ta&$f)#m5)DvI{9le%|DQsNw=bIthi34gGF@w<VdZQ_90>5URcU0^I{R!U
zvfjQ}NTgZfR3Z(=uI6l}R-AqMvE*#VQU6)N<Cz;U8=v7ZLp4~}Pm(x{yxswSK!;90
zgt+rl@8AFvRu$_Sh_ktok6d!!7N_1^fdvb-@Zl>QidAmJV=gu!Zp6i@Gk&Ns$F4@4
zp7sT3Xmf*B#ka(bsL#^<Ya#)k!)?mSq%pqv9v0CeK`xXxQwB`Av+d0_;x^~ao&N$y
Ka2=kFO#lEpo`BT=

diff --git a/compteur/implementation/pnr/timing/compteur_postRoute_reg2reg.tarpt.gz b/compteur/implementation/pnr/timing/compteur_postRoute_reg2reg.tarpt.gz
deleted file mode 100644
index 28013b3b5eecdfb61eea8973328d502040dafd96..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 3891
zcmV-356ti%iwFP!000001MOXHbK5o&{_bCanS5wF8C!hQ3q8{hj-9lb_Uy#&^{&%t
zH9DJh)Y_CQ$xbro`|tPQWr+nvN-!y1b%``%V+oLp1+aMVa0yD!p0)byJrm-aEYGH+
zd3GiK_3==s&++Ig%P%wWI?pE$_cIX=4#HsnE(oGwC@(p?FemEM<^S);`TYm+VbB})
zk}toV%;w_tiMYG`I7`B>+*&5Nx%eNb^6YXhL?puGFisESfrx@Im8YL%v+*^ZsB5{L
z+}_Qz`>8BGp4{G!@+)q|e0-bftHk~t>OY^{&oi-)|0m<A=wu)6CewLmetXyXZ9JJ~
z`FxyDI&Y?v-?Pj4tTVr#Pp0GSW|-gKX4CPX_gRPP>x^&jZn9fkF`ADjdFL*lc2L<k
zzus%r_s^c~y&28liSSVT?d1>RBAegeiQ{+K<sahx82N9;xwy>d!)bQ?bM#B+_&c%p
zGQYZ;jPto{H!C_3U;g@)xRjToOV!2VCL3MBM3G%xXJYc3_~P>Bk1zIKWY^=|s2~Nw
zFP*na!F)QtzRsq~5k!pwW$`!D$^G5zQ2ZOFMfm&A-cdff`8XTT#E;|b{h^rMOx_Pi
z4|`|xciB|HYH>83jvq!h;s=b99!~i`I0%C7-o9zO&3C2XAPU31=i<$~(JT`e@5aBu
zXBIx;LDUU!*72J+=i=z<_xssgj#=qESz~}2{|@(_&PV9WkEJu@VE}gz_P!S9*`N1g
z*&(jk=8E7TO%mv;ZX2l$4*K0LbY0wxFtU}3bd7^_PhE3-Gr@`HW3=_99K6bpZigy*
zE>6(5S$&)3S-Pjq`4^M>shZt0^Z{HNv+ZW&^uxY9zo+f_T3D~CYpXgx(C4Fx(($CH
z9O|6s`)mI^7h3hBYLc2OT6)x-X7a^pMjjw@j<cH^dD^3C@ng}RM?2P!u4=7TU!`PH
zy-?tk;w&@P^87+HR+sz3^w45Q@f?yV-QTy;zO{Nh#8h{T`xQrzqLhyu`ym;r9!gz`
zc`!&JQeQL3036A4>QtY+dUgKo+kb}gB;~8}WKY#^mAOXstCz#GSEqyFWGE{SpvF}l
z^`(K*!AXLc^ajWztFPmvKOBZ}kak`ySABGP5=omKt36f!#8u}*rA2B|A2^z$Ivga%
z>ZBJd3AOaPQe;-gusZ1{uGNdGPnGWPQ4#BF)eEat8>=7G#rd$W%{BiYg{wO3k=4DZ
zPgV~?DU#J{xQ?U#5V|@?@|-%=uTOu}U0t%;PxVhywRXOI*ehA>st%2<3W()p(piAq
z5XoxtYb>)TC|;uaqVPVquYvJZs@ViBPx+?DgnXM`CVXHY9Vr)Z)%|v@-vIJcqt)mM
z3-=c770}D#Rv2&en?#SOYMpWlC84Z9b0!*BDvhcce{us#<yj<CYI9I%UDHKvRL&8X
z?39L)EK@ZsDhH6Ov8KY+0pSb!>iiqST&2$%UuyUh4>7)qSw<dQIIicyDEj2NaM<l{
zCl^jr4=y|aTzGKdG|~RLX^?v^{_nZ)YG_ojRY`;!@n8cqgf~jN`p0reMA{fEErc+X
zA(7H1sJY7G5=~93m;!E^7vYmDuHp%viot);ZyM*9&|gVFi1S(rnMuFIBN_W$qPSqF
zF0-L3mMI2{EEF&DQ%7+P^W_{8e4R1hC5j7n>RRkj>Ket`;yP~XD6VF`QHUnfqIw<2
z=;J|u5Oj_r*%ft)&yHTlVd<_29m_dyonp6-Q|oJx9)+Vg>}z%&8~|>mIg0V7Rd;e~
z#gwNImo6)=@?%(Bqu5SMJ9}G6gl?N&2)2psQk&Jld6C_9;JB$xItz(N8>2V#1QIE2
zg4tw}YaJZXA*TGUpYG{2UBvY+ajx;}8g<t6w%)90ZtOvGmxp{WUW+duTE=|0J?I+@
zwv*^40}rALNcSMRab$v~o5r_Kfavb8#zhHU>kRoC)M4PGYOn|?52+MsW3;rW!jXn#
zN}B+uF__s|ve_l2a7Z+Wcnx8wKikE{HR0Y`&7O2uX(lr2@ZGM%Y}{xs2BnTj7qpby
z4C|>5h`oUK2Gi`4u?Df;z6t<SKR=!EmTYE}w^p;ZxsI6@lvio4<h*H8wZ3AV!eWGe
zuG3r>Qevc+YqrjACq+AnaAVULyG<O@11xtDQ-h5Xz#SqPhw`q8CMSWQCS(Pm=)mlw
zsB>?|B)PtIxi_3zQOU%EN{00jQxr)m*<$+ux&;U>HEi?#0l70^8}|>$-4Cnw4|K81
zV0Fwi2}13$n})ehfl4|H3at+k2a1o}eZxQYNt4R045tD#^iGPW?JIDrQAds`3prGZ
z)Sq#>P1Z+?V8nAsrqqjm^2SJ3!0)<k8MQ#>kc`yjqKU)4To|^hw1&EBD$%)I4G-n9
zY5;4_r)Z51%Eo3-f(-b%)kM9XY8AMoktCN?A}uQBIe9QF>C(^4Ic4S5$OqezvWd}b
zNm-v<je35r8Jo5sVXbki2?G_<L^ZM6R)PBQD80PHqBk5yy+I87-48mgu9`Xm?o$oO
ztn(?V$%ndwsB~fHg^I7rCM0TW3(i9{GcED_WH?N^Nnh=}AWbq|QqAevdF9>_pK2;v
zqg@~$CcJvoU#iAzI|vT2NGP8}Ytp2OzUY;{q^$`1maB0c8hhr9L)TPeA;N)~bsZ2w
zZ+dClF?b8d#@&^}!0r7P8siJ(5|m0`AemB|gF?%=+wXaZ)0!!;_|UEl-qzPSgJ=4z
z@$KB37<{)qgMZnI!K)3xTh8Faw6~oMz9)ARuVU~B&-gHSv{6mBO~c%$z~E^~05r)@
zLDx6O(@jk#(bcN|JcneYjS<tr3Wpk!DQ$wC)@ZU*=8%lE#EetPV~Mg7GhRn9IH}Xz
zIvCvVbDLSn7F&POK+dfBrs6s-%7VeQnp+2h(;lav)X$Qzy|gwj?yIQdXe=1aHCxBA
z4%SYhIk;)O+R-vQNlO#9G01RlAequ8&_W<mvJbZ-j7BAC)vdM;PZpE&34v9v&l=y_
zsa0UL=RwOf6jr{Lg`#zAMa47z?G3Aj(KbGz4-W#^<wME_9;B=bf+u}iY#QWtq^wEs
z)W8l$A2nJ9sgXxiinK9aT2SFYLo%gJkW<^s?c_3Sc1c;cTeXjG9i$Adj;^YpdzzH-
zFdnB)#ZD?*j8LR{iAe_4NP;*Bm+nZd(_Bl+OdZQKyHC4A+sTj?V}kO*wzN4O$OTG?
zX~Nek5XtUvnDz!y=Y@)eX`2^G+0ohS=*0`U$I}hb`e=5EWGw9{KCs!<JfJ<E`eiqq
zsDKaER*WFtYC^LprdZbOj-U;I)M&QOZYM=M>2oBK)Mn*wl{c{E2lS1eE*bSE(ax=%
zie)uMMdk`5QrZLx$s`y^qD&nQ+3kAo$47_JYpCvIWR#Svv!=IlXN;_3kbMsZskv_@
zgG5m~1_=dQ|6+fVZf95zFTby5ka6JiV7?bbI|k`Hp;n`!0<Sebem6ivc%!jV{^vO)
zBW;Y8whK7UkW6V4*j#C|%OK&9Xk3{kRNjSi&6VLMPtA*Ay{>uQEP0ZvIX3UCfQ<$A
znP$MldMrf7nT>vuU9Z_Pn;Ok_5bR*JlgOVpefPKvk*$Bia&I7+(k9cCK!k&f%ya2Y
zWn{c^a+W8Kb#KmZm_BQKYo}HOXW&6_R=mc7CzmZ=V=;RVw>n4`h1(b;!!D7y??G{*
zIQ0mU_0qwP;`q)fS`rK#?4DdIl2QJCBJ_?9SGb!&YEiyKMADj96&Ck@JeA_qX<iJH
z@tu1v#lfsn^b$cbBViH1gsh-tfH?gWkO#@?HQP0yM)SJX0PF4K&pV0(SF+m=%F!DX
zHP{?%K*A)-X~<z&UgLWb?hPbUx-p8=OL;VyxV$C`rD^X)akxHfyminf5}aC5oOB7r
zna<|<aP~33RA14kDVh_>Sva9tJjYdoNdLA+b;2;-!l(|y<4NR0c@UFY{klazjdzsC
ze`e7lL7>F~-VSQwpos0rbIrGTHNs8}l%_^ovC3wLBqH_4QQdNbHYLzuha^(!g{g(z
z6$FTk#W^G*b;%E06NMDtSwN3k3RE2~f0Aw<=P4@wIrv2`emoQ(B?SR8l6-oV@VHcQ
zIiV|$^b~~^x)}wk)@=vF-+xz~N&fv=ks#G6)N*pix|v<(L+hK;wq`yjTFzzWBqUPW
zd=gh%>IQ)N1AgmLI6BRO#o1e$TGstp6o@Oe%~<8s9_7T7!ax(H^Xzi+kWD|<eUWtq
zOCkb@qVrRYeNEm+UFfO5nk5xoq1)vCm#Es`#xL^2*J#InEGhC}Nol&<J>@Zric@^S
z6wXvdljJh1zRJ773#bO07(_{r<%c9AZ3(WXy&Q>1rnEW8nu$i%@>U?vr8DqPG_jg0
zRmR*4<prI=^)i63HU&LNc{NuG9j>I5M~WAzEmcMWS;8!3mRDdXN=*c<K*pbyayJxZ
zmkX+<q}&cj;ic^b^7GG%E>WY6XI2D$%Nz+~*kC>z{U(uqP<%*6>OX~z2aqFBD!qVY
zN^K4b?Zk3pxTu`p*^o`rNRnmhJQhm_>wTe*>$AqUbL@f(nP2oM2tcDB_1JNt!fUng
zzyE=c9TzHwK(B0ip#*Yl{s%sQB*nT+RuqVd3>#?2!Hx@27nQKpWD~5)Lz0m`iLNZ(
zcoxdHlMCU20%53Zv|e&{TuA-;Q|%keYSGoxeD{M6a<-Hxf#C{787P%!kxZ%0LE+}P
zQ1j<!8|6ag7adk{q2+JV(LCP8g$(BIxKP~>yvYt?AoeM|Ro9vdHM)z`o@Uqrkp|;_
z?2tsH{&$obR1HvCyj0ojkVHzo5Vf$I-(xPdd+ju6lMx}Qn<6z<D#dIbi(iKXt`d0G
zlAwaVF}qnUCEa!~^eC?5KT-Y9DI7#?HWR40x3M=i<!Df&X>8Q9X=>&IzY*}Cxn}gq
z2`GgVkVvV`LE+Qs4>#B&5>)yAD>{rnbhe>R_GD}W<fn)OnXma@#eL%XuV+OOP2U~&
zF^;?AK0EG17zPkizmcoaqACp32sE|&5_Fn637Bw5B2s?@)$64}Neh-Ln;nu!sTZ6U
zcJH_kel(kb=Z^b`ZF)m&$9*(<@M%h5;^K<WQ!vdRaJ{SUui`#?{{_a1ma~+A0070(
BwZZ@Z

diff --git a/compteur/implementation/pnr/timing/compteur_prePlace.summary.gz b/compteur/implementation/pnr/timing/compteur_prePlace.summary.gz
deleted file mode 100644
index c57888f259441db0eb738123c95e9bb98f5abb98..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 393
zcmV;40e1c$iwFP!00000|D{u5OY1Nc{oY@3VF-$BN$T)z{U#1n5FJe3`#5TQoss4y
zrZ=*IKi;hC)cV8^_CVp}<Q`7$O*$C#LNWljKti80mT<qxpuu@wA{7W%MD``rpe7?F
zhfd0LskWBeMO*lRYfWJd>&fZzbbNSeYlf>CxMEX})loOaQfvMf7q<liq@c#x$s|)#
zNTr%?%V${Y6?IYyYaC<n+wF5}OitzJebxqdE*LtG^D2)G3~k_<_8@TWtY^W-i3e>x
z5^J(z?(Gv6Tni3ty~b)u!JyaA0mVK}XyYn0(UG&ZBpi7Wvox)eezPAW$*>2KZ(Co4
zI2gbAdOIETi%pKdlaGI9$!n9mKn@O!EwNc{#k{H-=5dwAFR~IJ@=!6n_DO1A*v$eC
zsXof0C`YMMO{N@;W!kcx)-m06Oj63W^efw${%Tvrhe0ct=lob_jVSls{l`8hoyFEa
nOzO|RRGqw=raRNG29eB=YR&PVZccpA@7mu0lv+r9X#@ZO_)@=i

diff --git a/compteur/implementation/pnr/timing/compteur_prePlace_all.tarpt.gz b/compteur/implementation/pnr/timing/compteur_prePlace_all.tarpt.gz
deleted file mode 100644
index d7cb484a2c62c006c35a37b354068ec6797579e6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 3931
zcmV-h52WxPiwFP!000001MOXHZ`(!^{_bBvARpQyu*El#l7W73?4%8vI&W<+MR65e
zn{jlpC0CM@80Y)%H#57#ebG`{laww#Q-IACNj)6y&OG~YcC~u+sL^ZZQ4su4Op4jb
zqBslwy4ertb$D`COiqj7`D8NvbUhEE!Cn;ZUWH*iitr;Z-^vrk>G=P}cyj$E_%g^w
zS^Djd)A=HJeiU4t-pteJJM${jiTv<C;F2#-7eNpQQM#WD_Tw;!!)S=79~JZQIiD!5
zayq@dS`^naJbXC4ygZql=~gVpmxcILuzNKtUR|8P4eU;@7f0h+&?~-NO=pYV;_|BZ
zemtEOlf`&4?Y){!|5cnW=Do%BVmcca7o*AbWicE7eO>hUa=r28)kSd$pEy~Jr<2~*
zWY&XgjVI?jjjI09qn%eLiw{AxAN>6Em*54oK=Aa-qL@uiF5vbrPHuvO`Mj9nMT4EE
zle4Soc(TAdo{ml@i$9`&Qnh^h>AT?cLvi{MD)aLg@Pdot<P59}inH?~n7$9bIlcJ!
z&CZkJd_0j5@bS^CI0uN{>i_{3v+?<PF(VhK0w805m`$&*=KI0F0rNjQ2Vm;Wd^`_+
z8yBDVgZahu^XTN$&dbGzVithK!NF`c{sg!43$%@BMEoD_g<-g}Yu~LpflA?C3}-zK
zUVS*37s1;P<M-exORs1z?jzQ(;I6a93DnTd(m8m=2(Z2n-V}ddkMTB5gXAZ|y&++_
zt!CJ8FHeSm^%flLqZ<fL1?!_|hdy(7F@+P~jNvYxVv{&uqE6`0_kn7wiW=lPr1Kx|
zw)T1)2$OGxjjzTNQMeVB<L={vngNT4#l;04zm@KKTUvXoYWmiM#PjJTFCjO$ODmRT
z*e9C`kCsJgrFGx3BDDI@io0Cq?QXdTV3d{P5x6#4C~f+aOe=R+SSg%Z*iCH@MXpON
z+zx-jQ<fm#9zA>Z=Ev9XA~;H(KtQS_+{q33nriM7SveU+5!rqln2-=85|T>*nPzxB
zw(@LtI{NGS{XFSMy#qXX3G(p8Pfvb*_6}Iuw9*UmcLiDU*KJ@zrh_4#1*SoWQ3;9=
zlTc(U%g@T&lvb9zZv*CStQJ*+m5|CEEN{B|TZkSa$+#w?$ITf%?iigML;mw;^u8CP
z_k|mFjE?oIGokig+pQJLvteIJ<62S1w-t0UIJFqniY0%meX7(xqxUryVn&B>Yr^Oi
zkQSrI<jhNqo(`x^p|g=CV)R6_QZagNF*-w<^b4x&E|5!%4*a#u=(&W<6TDk^xfxw@
zAD$xm9l_`XS<UE9NX-c>ce?XiX7r>cqbJQ7J?R*o{9pd_X7nicVe};F82t=_j^&kJ
z)g{AgG_DmzG{XmA-@Rn?MCVFobO^T!MlU5g+_0`InKfZ&Tm@+{dP3C<k4>!l2{KMf
zMwcaI^xUn{Q;X3VvXaq#AeR^&_-l#L^HQTng4-(?U2-3~htB8(S;^>b$PF-hT9eVk
z=8PV8jIPs+KcgpJjGlLl{$Lp$35mNhW^@R*CX8MIX)$_O!RQ&%AN35PM2xQYJEca?
zLW|KEvYOF7AeR^&_-mQbON}0(X=Jb~>ms=iPth5jAgdYO3Aq7A?+ZqMTP&`xf<w%R
zi+ngHG1(VH?`|a1wL?dg3$L3@7qtFoon$)X;$!%0oKP3{POj+q2cl(=Z8f1D?S)wi
z$@z5&^(>1$s67qi?NB?YSsXvXT;9I8Vq5OIKEF=sb&SC_lj{`I(j&MG+uEvKuMRHr
zwoY1|Z9)^dj9VqMkvGE_4tlY>t*lw1`{4;{7dd)Jr~?n%cyWfN5U<M;*q3FgX5V>|
z=A%)Rgu~twx+xP6Nd4gWD1L|JsH}n~2oJp9O+2bI6EA{M0AXK}m-L(Gdb$bhi$|j*
z&*R<`%KDV{5#Gy#=WztX5qK%xJ|8?`ACDgNU3k(eXw<aq#0Hec;`TjHlHq8S#>1?4
zKsRIJ9lU%V#}4~^@XY!fkbNdz6pC64_xf_&1S(<J*ZmLdgZ3TdgV3r!fd}=Mxa!Xb
z&#b>8*Pn(LMeKjToYc|*Q7sg?{)}!7PvQC#c;=I*ijN9B72J1Dp&1`w{@Zi{U5!Au
zPvU$J7+~;njFH+L-p2T-yc%ASi?D5j!MSaD+mOID>on%rt>SNIzD46(lYZDyEjVSm
z;ca()!`a@<LrIFvzf(!VAyASa1pkkfWE^dMYDS9FJk}}6ew6tr$v71}xoIBil;o`y
zgfdh)VtI>aahbPj4;)lITR9U0xe&=vpH0E+BM<Q@8WTY=jdi8QJ*6{GpfM3#N>@Tm
zMXT`YD#-zgBJRmsP?A-6rV<ir&qqlP^`r>cmw?hu^VC+l)I7(eb5|?LDm+sO<u1Hj
z=toqNA}<Z?G064y1^aM9i+q8Duo4n@&yRm29ce4w)p%f;c$NM)8D+5NHn8wAwhx#I
zot4HvQ+-AY`yX{1cnT|VfoIO+VXp&a>c&&SV+GzF-?GZtG(&DusqOMjP`!IeHK>0v
z^|HxaHhDR65H7>E4Y9T*l0<#wBuVFl2YYIQa#Ai?cr7|9O}NTE1RKnCg*32Ju)(3X
z&l@Q=s21RQ)O>fJNxQA&UmW$fn*4(&=A`c-+0uUMBiRO9noZkDwy#pM4fJ7ft;<{<
z+F8#=DA}s;EXf8FrEI*I@@SO&Bb`BAdfvAr+rW?<+@(X_2JlcK@OZs0DdUxRy5wL9
zgJVQXcr>dQ?MsqUatvr-U6YjAXcT9I1g(Uw$;2xa8ywQ@^T9JE8_qL>o)j~kr~m~X
z&1gXT(ohUML2)p^xeuCsDJ1{;X)Yxt+b8gjU%t`t%2{hZc)~tzkCbe(@KPQQ0|US)
zcoCIp_Obtojss3H@v0<S1zwB2AsQQWlI`waKcHr&+M{@&670KYlFdnKLim(UDjuJ0
zQe&Z}!W|`>hS#E#l4KirNH!rhosta>p=4|D-6tBZ{mLa<ly6<K!4=nM+2GmOL$dYb
ztjn^&m){;D8$p)|&F|<k>u1?2@hr*aJ6@aHlB3~xZ6%&5IZy}D3><Cz*EwE07-B+8
zlSB=(Y?XMXWb@9l4UO?+mPCS>p|@elCh#gHk;>ZXcrEre)RlLV=pm92NEkP12w_o2
z66ts?Iw?t_p@$?|lf`=4h9s)>eemsNv9>#f4Ilk{rm(>vh>s*1c3CW358xrP5lW(=
zD~r`Y5>?>|NyJ^Sa|)Zx4dItoRF){V2KG!zWa60vh3Wy)2hWroPDvzlLy*Nv%PDLS
zikc*1$$?pzr?9`290IROa#Y~8$mX5ophZ6(VvwWca0X@lER2rVqLY&3h(Zs|A^6Fe
zhJizEOm+II6-N{gw>oYHGdbhPBMFlXeUdO)+)bK)z={K!-_c{%R~(gibrnb78uw|c
zII8gKDvr#WG~XYMhS`88&6kRZk~%nv{K*rX_HB)uX$qza&rA~G=pc-nnRqnBNaK2x
z8G=)YD%|aRMB^AdE+z1)CVc~w0LT(vi=7RE-|KOyyU!$Qc_I<D4^$#-+ZdGMw&iWZ
zxt5xB@R-BT0w9Je`NB1BU;3vRJ{54v^gB*%Gf#(>6;ep9!?*JLgR9do&7=@u!(x12
z_Y@*Xa%_1)zA*QYLUHah>pM%j<jX^rLWwK+QuDbCSPtCD(e+XkBTIAFiwpAEqwV0Y
zcnW}U35r9?c<^|SmfSGAau*&mWF=lpTv<-2?Z#6cXmX>{>0SMKRR)gT74?A@L@he0
z=+Wgmj4ONeHFHdw4X6M(JQvklV0UW+Drvg)K0Wj4)6;>+fJ)XMc5_S~?tlt3zoQSX
zIlPN~dKI3P-f&F|cCE)a3|(_zz&>lh!hy#m34OX+-%-bFkuLG+{9Zqvm%>Coh<bb}
z8~mpGrcV%HA6(`1b^CM;uSF*neY#wU)7hub=8MT_elt1c#qHq+jA4tV6Y4#&n=p_}
z{o0?~qiC?zJ|6OtN$k_d!xx0sFQlIjx3r|&=Dzx)r<|-*A~0{sR#4Vf+Ar7Ir>q|@
z2vm!<klzK0+R!fZt(L~~Pcn*olYwr<yY`lgy!FaB3LDF(Y2&NkY^-(*xJ#uUp$M*{
z>4T-XT_!kjLF^lNX-;=8ay_<C3?d6qUic4ME6u=;<+{i?5koF#OyN^$H)I&}jKC^v
zO+&b`Rb%KL+N{j}eXnx_R0Vt|%G2DD+RAz_rK@9sugF_-SHsxU8l8*0tsj(bOSNbO
zp|L6&EAntgs3>>lk_D=6qnQhH>kG*tmx_H;oK8O#vzw~51;rg0dP7U%y*U;QTxVUF
zGQ?Te{IB&!Sry`*%>U3PA*|=O&2a+w5unIVZ$ut?V|f3+YHYZ_FO5Mn${+VJ1P6A8
z^lMaiS`tC{gk%Mc9B{w>mdmhhNNe(*bq?S%Z|l>WxScA?HJXDW_z#@OiTtJD^${KL
zd8K1iX+5}Gb-+)BX^MuxV@kZz5QHqjYyyZP&2k5O!BIJ|QQj8*4w*f^!Ssxp2I~S|
zCb()4!&95RVL!ju!h>%@#ziSO)N_HYHlTiM#HYlU8_`CVlfyCpkd!UT4a-|>Q7-dV
z?SX?TPz-U81_|xV-&d8!!A7@P#RhacX5eY=yBu=W9?QOK;VI)AJE>3=a=GHNE3H_u
zc=h;1rz(_NP^rzI1MF1ADp$9N3TnUPDvDA1sY4N}ovL6jwOCE=W<tZaT*j>;xAh(W
zGR2kP<ueq3D@avZ555Ui0mR1arO3Wh74V~}*gtgZjuZFDUY9#x-r_MX^H%MFgPT_s
zHOCk?tt#Zwwd+*H>aTQgTz0t%$)TO9z$bwX{U!nhy8PErRMdJB5^XH|UG9F%&QlhQ
zj@kdINZgjBD8*E?TYJkz-g<FaX}A8Iw9;;^_g~-V`v{6n>IuZ=?13s-c?9^iU-?t!
zz-UZcm2#pKLZ;n(6$@K6hVG%w%Ix3wIYq(uAVZ~8{nZ(YT48Agq!yT5gsp!h%GTsY
z<t;QP7kR7pz`?r<3C%h!-mHG#@Z04`(~@<jt8O+q0WB+X6LLM(bt+=@uPJdjb}B-W
za;G9X6``?%KX-{fNAi=RDcY^Q<sxsrh^$i)DD7B90HZN&bt)p*<l5|=ih#%iHqga8
plY=-yk%_xhrmj*&)czG6eaJ-dh9I1p|Dk1f{tIAKQ7M*+001yusd4}S

diff --git a/compteur/implementation/pnr/timing/compteur_prePlace_default.tarpt.gz b/compteur/implementation/pnr/timing/compteur_prePlace_default.tarpt.gz
deleted file mode 100644
index 31fcdfa15607925b65da52193709699bcb883deb..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2518
zcmV;{2`Tm;iwFP!000001MOSea@#f#eb-lD#t%)$BZ)Wh;+a0km$aUyu@m=_PN(Il
z5^b}QNtL3Uc&h$;cL6L3Qe+HW;<SzUAg)31Z~-h14;S#k!B$7-fU&QmB+5p4G-1C#
z95H^Jj3!Ysj@WsUr1y(CGyR@vbZ3TPg(lu|aV0B?>iGL2P8RRkd*2OR=h-*uJZI;p
zY&L$FJLZ?wr=*Fz`6qbf#W-ioVy1Is`$v|+EW-@2`e`(eZ>XYp$~e87<<TO;%O~mG
z-6)x;UgYszB<^C}Sr%PRN6>(7y2wxCjP;}Uvoy>5`Q5C49j958<Z+VrFSGQIXq?ac
z`65rVIGTpZ;x5YKKNnG-9@mfWX4B{n?il59n)GK$)`w@s$xUagu0J^FT#oWvW*)Kc
z&c0_aU<BCN`#j2$(G;3L9X+t&d>&=^P}Vt1CbKk7a%^!Lj+6Ws^H<(2&+flu<J)Nb
z7CQ4?40voBjV9n7izYV_ORw1%<LTQkI>*sXoJa_`J<OsTfat$s2#{y-%}tc?5NHG7
z&VHSxi`o2${WXcMM~i9xcV`IhKFs4e`!SB*9kKZ|eHV`II~VzFlriv{4YMr1hbDaw
z<0A$Ve~q4D7@cmt#bpI<89fVX9kR>Y(L7>TxA8SZ%gSN)tN~*E03n;@Bj}}vl{)xD
z6R^HwucJQ~F*dd8ki5g_1sto{Yl1a;o*e+z6$IJaW+12v-kWBJ-*Yleq2lWpT5*QM
z(s+nI;g`N*<#@I9AoU@yf7spGama+rOX1^XoCsqnJjddf5g!ILPon7*uP<fDE(>p$
zWlt}wkXWA|@)7ccMT%M2P$q{8uNJ2Cves_d5lVk3W|wR_cZ(8$DZPwCm@?c$>C<oA
zwW7GiOA*w<Z$9>5QeP@l?&MpnvI2Q^`uzFpZ(hAI;VO>=4pdqqoSGqD@t(WqUM8Vw
za^IJ%3JH@$LXriLj*HJDFDKbJ{C#mfw+Ci_h?Q3$PhNa`{KNA%z|>VQwIF{kAqx&$
zvMQwG2UrVSgDJ)>Fkw!DNnRHB%4SM0i{d3C?#6B@d$1L<42N1Yt^X&?9!`|jhOBOF
z&+68m)p=kjKl-db&|>w02*o|CV;`$*sQj%ID`rs}$_gr1OgYDE6HiW1Wu7W#!Qo|D
zY3-iX2MQArtHYGrhSggjYpibZfL>vB$LD<tFC1xcR<{)|OIG)4tWJ<s3I=7i4&(}}
z1Bb1$x+ft$8(RxUGpkGf!z$w4BUqh7wzGO8q!I+Ra9aCMVs(2%R=2ljb$ie1JpPp*
zZB{ou9agvPJ*!V(;*rc!dKyg0;qrvn6tl3Q>_5rsw#t{p>M-S6SiO+y&~Q~>5_3YK
z)dpE(b(?oHd_Ix-=a80Nu)4I6)xBn|?$lVFAX`~o2Xckgfx}i<-7B=ZDfqpG)g}L7
zn5eAIAzN9!8S*2ry0amx8{4zGv1fH2|H_X(tJ_+v?(JFqvt@N8C3>9^tHYGrhSggj
zYpiaxu)2#B$lnga;;gQ|dkU@Y8Z}lY$aYrOfLvj9;ILIzFSNReu5pK(GBA?=u!_p+
z9I~C&8zDaes}BULUq$(1#!fIpF7o4;(4<%pZ}>`bUAc71bK~nK*W)mf8B^%EXTN>Q
z<hp1(4q(#(!o_!=G`Vi}4A+4~{bR}XLEvamyW<&~QTrjRYt0t9%vd*d4U~a`gXIE#
z@sORu5=O*^lRp;o99M!G?m-#oi29nzdyDFWV#f6v%o`rbC$9a4+IYj`seH`j;|$+n
z8lMw}>>#q?yy<6w$_!-Fxix*S%s^}&<=UZ`JVFP_#xoALBT9^(>!B%zV##i7#|uN#
zHUeN|tWtpkIv&0}wca4Dsh<Kma6c<>khaIas&J-(iXXkRjUvb4d1}50ytBg4_B^Y9
z%+of)I|+9&Jhx0(Qb1_cI~_RT9jyQi5TXX>2`&fk<c9z}!{=G3vhVC53>_<Q`$OK8
z3f%DG+_IXy(}1h?osZsC;Y@?Pb9{^UB6t@J&{VvGk@Y>_sP!F(gT~rTeWwFg?Ylts
zodRc?!Y88#WGB4i?5KEGc@tq3>N^fs{a&OG&sMl{$}}>CZXq$s$Ig70%g3LJ75SJ*
zTHM9rCQf5{kO}8aS+U4@!@$wd(zz^wi)FAEtC!phcs9`(u62@2aE(knmz2@P^n<zx
zr$pzfoL15qRo<aEt-+P9>jq+DJxbHM8q!as>>H&YTmtC_%I$wF{Vemz_f<H!N8>T+
z=QzHO^b0J(;p_6y=OX=xr^RG$*u$|4;U}4*dLnkAvo^y?4yc~^G$(eTb1T6qvJadh
ze$!Tc0e(Q2+CWi#b+oEVBT}ns$Tl?o30@ItRkUW!7pQp$(0n#1Qpa=p$H><ew3l3t
zepvFgp(*KsB=qoIP($-5fnk@L%CD<s+&dx;@Qp+ZM>~J{BbR%KT)taCKYkDm#1uc2
zmb!>gLvtx@0UUz8g0HW@X?kaIg0G`hH_Mb`+JaUFW8<qxvykTLx;&=&i3zO`@%%CM
zRF@jT`<$^Bw&6pv!|zNn#3C{Z8(h#P91>16l61zQBb&};30y2mLRV9%{waZvDkxAt
zNJvyQ?kA|P{Ffn0Rt>LoUNah7s*$Ynww6^_=iBS5PrPCEv7DL(`<I`1!>Vm+2rJvx
z5mtk}uxgnERIEpKNQFD(I?1e@?8x4N>QrJNo6fCFr-&=0Gx1*M$!_up-6I>%6+PtD
zHAgk4R)MPuB4Rf^xN7F5A*W_=#^v5|iN+oE`L0t%`<42tY^=<w)g)25SxN&=ct?7z
zNntsZ7lH{ZdJ|NPtuFj4FA3mN=;#Vun~Z6JD~Fu|e4{hXioK3mf7?4vncDREZ|N9#
zaX={21X4n@DLK>@qcqb&$EbKaic!?5d~dd|p=01*W5Dyq^blOSI@^9}@L#WEo_1{z
zSx#qd5S9`&5=1Vj9h^^WT|)X?5=5FGZOBb~9iy%d`uqh=M7OdwxUP<Ah1*QWz#M9z
zVZm51mcl!!W7=34W>_gaO1K@d@LnlU3j8xw3P8=xA-bVbQ1NyYqlHokG?aqaPu8du
z;L<J@l0NA_=suS3Fs-M}cfcySrIqg(SUNhv4fZ<WgI|2a*YYc+CAquT2_Tdz2@0QY
zj@g|~XoK5OC%CmeM1wF4T%Yz3RdfPwt2sW#oiNo!8&xN?!Bz7e@Q(>%myQBAC{~pC
z)*R?YKEoSc5u8n-yvTQOxVC*Tb~D_L-Vih^*8RNrH?7-H8$LX<vBT*TV80KBL2ANv
g@xNYZW>c!8aa+00J{T2lchTAT2T@n!#u-Kc09`lerT_o{

diff --git a/compteur/implementation/pnr/timing/compteur_prePlace_reg2reg.tarpt.gz b/compteur/implementation/pnr/timing/compteur_prePlace_reg2reg.tarpt.gz
deleted file mode 100644
index 01f9faba8efdf89b7486aa5aff77e4d36a557878..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 3219
zcmV;E3~cisiwFP!000001MOW~Z`(!|e%G%UAP-Ft*y2sR80dpzyRFgIPVBbZMUfI(
znX$Q%DOIAK80-D-J##sni%5$iDX+bzfOv)+^5fymxqLh`WL~{$^&7k*<ohH`7UMj*
zApg4CBkXrDzDTl3LXNX+c6+lVcDQR>J9Eo&Vw;|FdM*y+htt15rP<9_@-_5h-+TST
zY?+heLo%P-Ej{~<ewA4!PW}g6@^q3D;t<>0bHhEyB93K8^zg%EnO@?7{3?^#^*m2*
z7PR?bc6~k0E>tP<^g7{Zk)8P>c|RS)4eZQr^22mNhRN6YY>^N1>-q3gI$I=Jo@TS*
z`^D_v$s}J6^P7CONRw%t-CQS&^sk#_h?g6t*Yjy|4QGt=be0Y0*<uLSO0&yBtFC|b
zYVdxXUlDtc{CxBaIZyJNIXSpWCZEZd6!yPQGcw8Yc#&NG;eH$*{742z*~NU8W;wlW
zrRk8ozI{U`^i=3kb~2eJ;|maxBo~(nnSCPPOs1c|8N5p_(@a1hfc0_sfxQ0n4apbj
z<z=#9dO#`wn8e>NW;gTY9{CT5=D@%I4)(M0^lq6h$!}@$WsfYUvoG=Zc5s?sB?|&l
zll{day&X@<FEB`aH0fV!*Rrg^j<|QZU$4PYp+9&_-d~NE2|2$?KY_}u{Onz4M6rGa
zy<OyE=%u@rW9SuaxZ=0uEcxpurMIdJB+jsRE#CvIa;Xv4+Vy=0u+Bl#K9>W*q1LWv
zIRkdi!E^=(o~3XXM|4<9f4omv)3-#9SEVe<@o+D6$mtmE@hiUF>E`#AaJ+jiGF46X
zyXQ9oyH64r6xw`{OsBN%9!z*TzJn5b@m}`&y^hDQ_(`lPF2nG!P1z^LjP5C_LO$bm
z#r<P9U`da{I+k(|G)no>7z_txpaT5~8~23oMww_k)@jMcgzsU)4^`|x#p=MKSMUzM
z`|j+A55L<KPol^gSEq8k4@?LyUl!XI-PG|M-=UWT5UfGf_dNyg()EHkwp}Y4zAM2y
z`02;}lSAis7fz8<)x-Ozj>q~;$Fpr)$ma(13QW`>80qpkaqI?xGkj;!LyG%lc&Gcv
zj!nA{npFAf;BonG*|}LfD#H^}!Jv9R$`^W}CZ8L{vFAkoaG%|bj<<h$>^LRz)xp#I
zFJ$uRc(%oR&DtG}Sm)58jz)CQQU5Mo7sfXXLd)nsjtBkcmi1p9JiY%S>^}w1wo(7U
zbMpRUu2zu`?E@m-A=4M^KaQvCGei%D!aQ*qo-}U5F>JVr{%_kJTn5bUojBeDGb_UA
z1q>1GV-}2Hx9P(>cH!c}besyetyDMl;5fEewshc%bc*NLsq){Pk-<rNJSg;2cR#48
z2AmXqLw(c_hmmEx%&Ea7ooXftO~5392>u^4NyoMxl}Up8^(ZSv4kQ$x8J_$D{@V8I
zFiFSbR<dnB1Y-6~QZq7Ps%WuT(qpiWrQ8FJGV*r7BpsEhVWfoTx28<83a=@X3@Is+
zOrtfEtisco5NCUJm}C^_^0~lty}&b>E(?k$jORYgB&+arCKQz61)M)pCK=I)NSRs~
zsN)Mv+#c@J7W#ujkO^_T<CEVQk2IO?!+0PW{}qudi+u)f;Q1&YcqWt&T$$2;sDCVM
z&_j@kbG-A4P*{N{jYl)PjW>|xY}-R_ld0|CO)$NvMb)7H`^RlUD8DLoYMk~IN{Wx~
zRZ6PzH3hFjC1r%otwGrAYQhFh=f|xW8*~f!`lp@zbL`P$Q#N;0gR*%euMTAk?Vhqh
z;`gN{|3aDsLQ15B%reTh&Km(m@T%|(%H~j7pyN3#kH(UJjAua9w|0Y(LD@o$a$rb@
zyba({ir_N(pg-L@58|nm11SvTCRg$NBKhYQlq0ka%I3$h<A*Ml61=&NS1>kc(vp8Q
z@N~)sw+k2sUV!p>78MG9K-oe)`R93%{2O_Jpp+;d$2&Pa<GDXWzB+hZJ{%8^$!Fks
zTq;nK5#^CgzQ|RRr>K8Ca1_xni#eXIFBk(W@j8r#R9MnewwLH6DP=2lYMl5KO1cSU
zQ}8-eQbyUl8kCKb&C=OgXrh#@?R4!Er)+lc=#&kvxIW7U&&D+<+sN^I%Jy<88w@{0
zPm{7W&$3nG8I-N=d~IM-j+XPam3TVk;F+)*S++2u2`%hD$YQn3vQ^^gl&yA_Ez;(b
zkrHvo4ABND8^^1pL^5lq;&m8pdP>9=482fFRPHo5v1mexRJ;zAlu@El4N9~oi*?im
zB?40QbeFI>Fv0hjOW0uI(XF$D4cv1bN)+{3EV_L0C3+*sa*U#~ELICjRE5VW5r*K>
zC2S&>153`7td3)3iF8V&<LMMeW;yEM>6D|C5{cZ9wF{OdvoPw!9#RgPg*l>!6!JmL
zH?ww9z6!h!y?IYLUNq$>HOl5$7}aTXsHBW?_%$d8cTc5R7-;HZrPGrp9JUiZD&c^I
zoQ_>12jfO{axlKrubF?rgad{jA|d7wFkm((9F=%Y3CGBo_GwEvs_>c;4qu)2fgH>z
zjw3(BHS;>*plitAy`!tXjcGH5z*OPsIU+ha2-9Xd9-CpLb7f%p&<a21D$2)~uldGl
zE{<0$Br@eI$LlcGJbOa4`9h+O*AcPtW?J#A)_hm`i?V6^LO*r$LFNt<Tq;~g>WOQ<
zgBnce;p9s@CIr||{p~>qQXG$*da;5UOvnl9toiocKK1gFnUGtSdTIDn20<9qsU-3;
zE2hC2BQLy&2b=or2k0w31VFF`)rjRgOr{2SdL%EyLkC%j*O99%meckNOkQIClE&XE
zr7sKTy3$J}#hQWFp^~!HMpz@DuUTNyZZ-wL;i-r&QF+3%DW3P}A>FSN(!H?8Y>GdM
zxWnAGL+W4Sx;I`R5!Q-EizPh@>sZP?&?u7;?O)@9;V%in4d-@gNUy>(k{e}fg4w(4
zEToGX3+ZJGU_d@&wxR?NeI$hRay;3&#=va2i4V5hwxIqsANufa42~E?97`<eQCP=P
z?t#Y6jEIKdDy454(iJ=@ym8|jZsId~^gu{oEb}a0-enW^ogdEYwK<EG1DZXr>oAZ>
zed<r`wjDldh=-)4>(mMH@N&@lZ~g>P@Z3YZs_f`)F`cKplgN^L_yq~rU>}iyl07Pl
zj{!AGDpCxNA`7-l-$aq1tp8OBes99g4RcpEv5I9aZ^mT=arm>e#urdn<re5J`LYUB
zL3I>*uwu8X{*7M{&RLU)T#wBO1DCtF@E_Jk6Wd{Ll`z^MYBZ<ss&h8Q>FC$gd_d7y
zf~`=9a%?#ms)n|!qkcbYI>EXC??h@^@v$zZbZDuaeCvg4p12fV5leQt;)s^EsSG++
zv21RX<&rAa2t4B<Yplq^tuaFbRNh8A6~@tLNd(*Cl;bR!%x;s#UDbC5OZWy(wnEd{
z2_L>D--Ibl9PR&q%{Pj!;P<5eg)y<<TYir@O90<1wCnK=y9VEgp8spcn)=suV=#<m
zgo6gG&A&d#b;59GLQxc70pP`vE!~>9XVnK_9n0qKO-d<h1)E}bppg#HAJ~a${1xM^
zLptDm2`Ou^a}9Vo>##M}!N47s#^tnwiVHtxMT+Dl-isb+QW^$cmFUIG7~pb1G)--{
zfqMR|i3i?<u#19lRDW3x#-xFL3Vcy;1u&Ex+UlF67*QNpEa`}19ZR_f8l|CV<X$Qo
zzN=c;T_G!#UD^hO9o;q*^<6bNb3RToWvm%^Qux+N%2|c@RB;hXE526z@bpB_Dx_Ml
zTDM;=?pZ~(vKx*HdcW{hP?zosHhNaUbdz<<noLH8b6X$yS6!UArjp74aOp2)Z9Vul
zSOqvX^j`F)dsb0=-<`&X{+r{>^N6U6!52%~hIK6E9%$S?t7tgIxNTM;K3ltvRXqGY
z2ij$ys}Mf4XBG5GU`t=IWri;Pv?LXco`m=qiw7Z5h;c8XF>GTCl=YvA_-zRnB|R0}
zt!!cy%UZsykh|gBi2k(HC{3|VK0&cDdmw8@9^t<DuUo*Qq1&pY6BWs1yo!M>2Se4+
zc6HS6=bWOzdk_XuuzpGTJDCp=@QT@)M!AtCiqXVD#S(@St60iC(6~8~Pz9D8+lc#`
z-mX%2g|nBNm;on6Z>63vvOG5-zNNa3MLhgJDWN&`EJ7%5&mwvjp?HU$MTq~NsoceN
zla<(zMSw>`x7D)<vdsmXJ&WMa6e@W~=fGQ7)S$a+u!zS0gO2(T&>f2y{0*)L<c>;n
F001SzP*(r|

diff --git a/compteur/implementation/syn/netlist/compteur.elab.v b/compteur/implementation/syn/netlist/compteur.elab.v
index d8787fb..65c5639 100644
--- a/compteur/implementation/syn/netlist/compteur.elab.v
+++ b/compteur/implementation/syn/netlist/compteur.elab.v
@@ -1,6 +1,6 @@
 
 // Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1
-// Generated on: Oct  2 2019 14:33:05 EDT (Oct  2 2019 18:33:05 UTC)
+// Generated on: Oct  8 2019 12:54:52 EDT (Oct  8 2019 16:54:52 UTC)
 
 // Verification Directory fv/compteur 
 
diff --git a/compteur/implementation/syn/netlist/compteur.syn.sdf b/compteur/implementation/syn/netlist/compteur.syn.sdf
index ee77b43..9a80714 100644
--- a/compteur/implementation/syn/netlist/compteur.syn.sdf
+++ b/compteur/implementation/syn/netlist/compteur.syn.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
   (SDFVERSION  "OVI 2.1")
   (DESIGN      "compteur")
-  (DATE        "Wed Oct 02 14:33:08 EDT 2019")
+  (DATE        "Tue Oct 08 12:54:55 EDT 2019")
   (VENDOR      "Cadence, Inc.")
   (PROGRAM     "Genus(TM) Synthesis Solution")
   (VERSION     "18.10-p003_1")
diff --git a/compteur/implementation/syn/netlist/compteur.syn.v b/compteur/implementation/syn/netlist/compteur.syn.v
index 1f1c14c..a3a1059 100644
--- a/compteur/implementation/syn/netlist/compteur.syn.v
+++ b/compteur/implementation/syn/netlist/compteur.syn.v
@@ -1,6 +1,6 @@
 
 // Generated by Cadence Genus(TM) Synthesis Solution 18.10-p003_1
-// Generated on: Oct  2 2019 14:33:08 EDT (Oct  2 2019 18:33:08 UTC)
+// Generated on: Oct  8 2019 12:54:55 EDT (Oct  8 2019 16:54:55 UTC)
 
 // Verification Directory fv/compteur 
 
diff --git a/compteur/implementation/syn/reports/compteur.clk.rpt b/compteur/implementation/syn/reports/compteur.clk.rpt
index bf05d87..ecad885 100644
--- a/compteur/implementation/syn/reports/compteur.clk.rpt
+++ b/compteur/implementation/syn/reports/compteur.clk.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:05 pm
+  Generated on:           Oct 08 2019  12:54:52 pm
   Module:                 compteur
   Technology library:     slow_vdd1v0 1.0
   Operating conditions:   PVT_0P9V_125C 
@@ -34,7 +34,7 @@ clk         0.0       0.0      0.0      0.0        100.0        100.0
   clk    clk   1150.0   525.0   525.0   1150.0 
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:05 pm
+  Generated on:           Oct 08 2019  12:54:52 pm
   Module:                 compteur
   Technology library:     slow_vdd1v0 1.0
   Operating conditions:   PVT_0P9V_125C 
diff --git a/compteur/implementation/syn/reports/compteur.gen.area.rpt b/compteur/implementation/syn/reports/compteur.gen.area.rpt
index 784ce00..ae2cfb4 100644
--- a/compteur/implementation/syn/reports/compteur.gen.area.rpt
+++ b/compteur/implementation/syn/reports/compteur.gen.area.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:06 pm
+  Generated on:           Oct 08 2019  12:54:53 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.gen.gates.rpt b/compteur/implementation/syn/reports/compteur.gen.gates.rpt
index 14105c7..ad850d6 100644
--- a/compteur/implementation/syn/reports/compteur.gen.gates.rpt
+++ b/compteur/implementation/syn/reports/compteur.gen.gates.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:06 pm
+  Generated on:           Oct 08 2019  12:54:53 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.gen.power.rpt b/compteur/implementation/syn/reports/compteur.gen.power.rpt
index 04f5023..5ac205c 100644
--- a/compteur/implementation/syn/reports/compteur.gen.power.rpt
+++ b/compteur/implementation/syn/reports/compteur.gen.power.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:06 pm
+  Generated on:           Oct 08 2019  12:54:53 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.gen.timing.rpt b/compteur/implementation/syn/reports/compteur.gen.timing.rpt
index f969153..cd22116 100644
--- a/compteur/implementation/syn/reports/compteur.gen.timing.rpt
+++ b/compteur/implementation/syn/reports/compteur.gen.timing.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:06 pm
+  Generated on:           Oct 08 2019  12:54:53 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.hier_elab.rpt b/compteur/implementation/syn/reports/compteur.hier_elab.rpt
index 5a8e932..775485a 100644
--- a/compteur/implementation/syn/reports/compteur.hier_elab.rpt
+++ b/compteur/implementation/syn/reports/compteur.hier_elab.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:05 pm
+  Generated on:           Oct 08 2019  12:54:52 pm
   Module:                 compteur
   Technology library:     slow_vdd1v0 1.0
   Operating conditions:   PVT_0P9V_125C 
diff --git a/compteur/implementation/syn/reports/compteur.map.area.rpt b/compteur/implementation/syn/reports/compteur.map.area.rpt
index 594b122..c720e63 100644
--- a/compteur/implementation/syn/reports/compteur.map.area.rpt
+++ b/compteur/implementation/syn/reports/compteur.map.area.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:07 pm
+  Generated on:           Oct 08 2019  12:54:54 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.map.gates.rpt b/compteur/implementation/syn/reports/compteur.map.gates.rpt
index 4e64e91..446c874 100644
--- a/compteur/implementation/syn/reports/compteur.map.gates.rpt
+++ b/compteur/implementation/syn/reports/compteur.map.gates.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:07 pm
+  Generated on:           Oct 08 2019  12:54:54 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.map.power.rpt b/compteur/implementation/syn/reports/compteur.map.power.rpt
index 451a3f2..5bb9d0e 100644
--- a/compteur/implementation/syn/reports/compteur.map.power.rpt
+++ b/compteur/implementation/syn/reports/compteur.map.power.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:07 pm
+  Generated on:           Oct 08 2019  12:54:54 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.map.timing.rpt b/compteur/implementation/syn/reports/compteur.map.timing.rpt
index 6a8d199..6f3f09b 100644
--- a/compteur/implementation/syn/reports/compteur.map.timing.rpt
+++ b/compteur/implementation/syn/reports/compteur.map.timing.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:07 pm
+  Generated on:           Oct 08 2019  12:54:54 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.syn.area.rpt b/compteur/implementation/syn/reports/compteur.syn.area.rpt
index b4c5ce7..8d586d6 100644
--- a/compteur/implementation/syn/reports/compteur.syn.area.rpt
+++ b/compteur/implementation/syn/reports/compteur.syn.area.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:08 pm
+  Generated on:           Oct 08 2019  12:54:55 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.syn.gates.rpt b/compteur/implementation/syn/reports/compteur.syn.gates.rpt
index 4f3621c..71aa59a 100644
--- a/compteur/implementation/syn/reports/compteur.syn.gates.rpt
+++ b/compteur/implementation/syn/reports/compteur.syn.gates.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:08 pm
+  Generated on:           Oct 08 2019  12:54:55 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.syn.power.rpt b/compteur/implementation/syn/reports/compteur.syn.power.rpt
index 4c2df90..44407f3 100644
--- a/compteur/implementation/syn/reports/compteur.syn.power.rpt
+++ b/compteur/implementation/syn/reports/compteur.syn.power.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:08 pm
+  Generated on:           Oct 08 2019  12:54:55 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.syn.timing.rpt b/compteur/implementation/syn/reports/compteur.syn.timing.rpt
index 8971299..fac5ca0 100644
--- a/compteur/implementation/syn/reports/compteur.syn.timing.rpt
+++ b/compteur/implementation/syn/reports/compteur.syn.timing.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:08 pm
+  Generated on:           Oct 08 2019  12:54:55 pm
   Module:                 compteur
   Operating conditions:   PVT_0P9V_125C 
   Interconnect mode:      global
diff --git a/compteur/implementation/syn/reports/compteur.timing_lint.rpt b/compteur/implementation/syn/reports/compteur.timing_lint.rpt
index e7adf33..d62caf3 100644
--- a/compteur/implementation/syn/reports/compteur.timing_lint.rpt
+++ b/compteur/implementation/syn/reports/compteur.timing_lint.rpt
@@ -1,6 +1,6 @@
 ============================================================
   Generated by:           Genus(TM) Synthesis Solution 18.10-p003_1
-  Generated on:           Oct 02 2019  02:33:05 pm
+  Generated on:           Oct 08 2019  12:54:52 pm
   Module:                 compteur
   Technology library:     slow_vdd1v0 1.0
   Operating conditions:   PVT_0P9V_125C 
diff --git a/compteur/scripts/clean.csh b/compteur/scripts/clean.csh
new file mode 100755
index 0000000..1bef42c
--- /dev/null
+++ b/compteur/scripts/clean.csh
@@ -0,0 +1,41 @@
+#!/usr/bin/env tcsh
+#-----------------------------------------------------------------------------
+# Project  Tutoriels - Conception de circuits intégrés numériques
+#-----------------------------------------------------------------------------
+# File     clean.csh
+# Authors  Mickael Fiorentino <mickael.fiorentino@polymtl.ca>
+# Lab      GRM - Polytechnique Montréal
+# Date     <2019-10-01 Tue>
+#-----------------------------------------------------------------------------
+# Brief    Nettoie le dossier de travail
+#-----------------------------------------------------------------------------
+if ( ! $?PROJECT_HOME ) then
+    echo "ERREUR: Configurer l'environnement (source setup.csh) avant d'utiliser ce script"
+    exit 1
+endif
+
+set nonomatch
+
+# Simulation
+rm -f  ${SIM_DIR}/transcript
+rm -f  ${SIM_DIR}/modelsim.ini
+rm -rf ${SIM_DIR}/{beh,syn,pnr}/work
+
+# Implementation
+rm -f  ${IMP_DIR}/*.cmd
+rm -f  ${IMP_DIR}/*.log
+rm -f  ${IMP_DIR}/*.cmdlog
+rm -f  ${IMP_DIR}/*.rpt
+rm -f  ${IMP_DIR}/.rs_*
+rm -f  ${IMP_DIR}/.timing_file*
+rm -f  ${IMP_DIR}/.powerAnalysis*
+rm -f  ${IMP_DIR}/design.*
+rm -f  ${IMP_DIR}/RCDB*
+rm -f  ${PNR_DIR}/oaScan*
+rm -f  ${PNR_REP_DIR}/*.old
+rm -f  ${IMP_DIR}/rc_model.bin
+rm -rf ${IMP_DIR}/fv
+rm -rf ${IMP_DIR}/.cadence
+rm -rf ${PNR_DIR}/opt
+rm -rf ${PNR_DIR}/power
+rm -rf ${PNR_REP_DIR}/power
diff --git a/compteur/scripts/pnr.tcl b/compteur/scripts/pnr.tcl
index e094ac8..105a1b0 100644
--- a/compteur/scripts/pnr.tcl
+++ b/compteur/scripts/pnr.tcl
@@ -33,7 +33,8 @@ set DESIGN compteur
 # Répertoires de destination
 file mkdir $::env(PNR_REP_DIR) $::env(PNR_NET_DIR)
 set OPT_DIR $::env(PNR_DIR)/opt
-set TIM_DIR $::env(PNR_DIR)/timing
+set TIM_DIR $::env(PNR_REP_DIR)/timing
+set OA_DIR  compteur_oa
 
 #-----------------------------------------------------------------------------
 # INIT
@@ -208,8 +209,12 @@ if { $DO_ROUTE } {
 #-----------------------------------------------------------------------------
 if { $DO_SAVE } {
 
-    if {! [file isdirectory $::env(PNR_OA_LIB)] } {
-        createLib $::env(PNR_OA_LIB) -attachTech gsclib045_tech
+    cd $::env(PNR_DIR)
+
+    if {! [file isdirectory $OA_DIR] } {
+        createLib $OA_DIR -attachTech gsclib045_tech
     }
-    saveDesign -cellview "$::env(PNR_OA_LIB) $DESIGN layout"
+    saveDesign -cellview "$OA_DIR $DESIGN layout"
+
+    cd ../
 }
diff --git a/compteur/scripts/pwr.tcl b/compteur/scripts/pwr.tcl
index 4477e1f..963db0f 100644
--- a/compteur/scripts/pwr.tcl
+++ b/compteur/scripts/pwr.tcl
@@ -8,7 +8,7 @@
 #-----------------------------------------------------------------------------
 # Brief    Estimation du puissance du compteur BCD avec Voltus
 # Usage    source setup.csh && cd implementation/pnr
-#          voltus -files ${SCRIPTS_DIR}/pwr.tcl
+#          voltus -files ../scripts/pwr.tcl
 #-----------------------------------------------------------------------------
 package require Tcl 8.5
 
@@ -21,24 +21,28 @@ if { ![info exist ::env(PROJECT_HOME)] } {
 #-----------------------------------------------------------------------------
 set DO_INIT  1
 set DO_STAT  1
-set DO_DYN   1
+set DO_DYN   0
 
-set DESIGN    compteur
-set DUT       compteur_tb/dut
-set PERIOD    1250
-set VCD_START 0
-set VCD_END   54375
+set DESIGN     compteur
+set OA         compteur_oa
+set DUT        compteur_tb/dut
+set PERIOD     1250ps
+set RESOLUTION 100ps
+set VCD_START  0ps
+set VCD_END    54375ps
 
 #-----------------------------------------------------------------------------
 # INIT
 #-----------------------------------------------------------------------------
 if { $DO_INIT } {
 
+    cd $::env(PNR_DIR)
+
     # Unités par défaut
     set_time_unit -picoseconds
 
     # Importation du layout
-    read_design -cellview "$::env(PNR_OA_LIB) $DESIGN layout" -physical_data
+    read_design -cellview "$OA $DESIGN layout" -physical_data
 
     # Mise à jour du timing à partir du mmmc
     set_analysis_view -update_timing
@@ -49,6 +53,7 @@ if { $DO_INIT } {
                         -decap_cells DECAP* -filler_cells FILL*                                 \
 
     generate_pg_library -output $::env(PNR_REP_DIR)/power
+    cd ../
 }
 
 #-----------------------------------------------------------------------------
@@ -93,6 +98,8 @@ if { $DO_DYN } {
     read_activity_file -reset
     read_activity_file -format VCD $::env(SIM_DIR)/pnr/${DESIGN}.vcd \
                        -scope  $DUT -start $VCD_START -end $VCD_END
+
+    set_dynamic_power_simulation -resolution $RESOLUTION
 }
 
 # Report power
diff --git a/compteur/scripts/sim.tcl b/compteur/scripts/sim.tcl
index 6b6de4d..d98acba 100644
--- a/compteur/scripts/sim.tcl
+++ b/compteur/scripts/sim.tcl
@@ -20,10 +20,11 @@ if { ![info exist ::env(PROJECT_HOME)] } {
 #-----------------------------------------------------------------------------
 # CONFIG
 #-----------------------------------------------------------------------------
-set DO_BEH  0;  # Modèle comportemental
-set DO_SYN  0;  # Netlist post-synthèse
-set DO_PNR  1;  # Netlist post-placement-routage
-set DO_SIM  1;  # 0=Compilation; 1=Compilation + Simulation
+set DO_BEH   0;  # Modèle comportemental
+set DO_SYN   0;  # Netlist post-synthèse
+set DO_PNR   1;  # Netlist post-placement-routage
+set DO_SIM   1;  # 0=Compilation; 1=Compilation + Simulation
+set DO_VCD   1;  # Sauvegarde de l'activité au format VCD
 
 set top   compteur
 set tb    compteur_tb
diff --git a/compteur/setup.csh b/compteur/setup.csh
index 141eacc..7ec3981 100644
--- a/compteur/setup.csh
+++ b/compteur/setup.csh
@@ -1,6 +1,6 @@
 #!/usr/bin/env tcsh
 #-----------------------------------------------------------------------------
-# Project    : Tutoriels - Conception de circuits intégrés numériques
+# Project  Tutoriels - Conception de circuits intégrés numériques
 #-----------------------------------------------------------------------------
 # File     setup.csh
 # Authors  Mickael Fiorentino <mickael.fiorentino@polymtl.ca>
@@ -49,7 +49,6 @@ setenv SYN_LOG_DIR  ${SYN_DIR}/logs
 setenv PNR_DIR      ${IMP_DIR}/pnr
 setenv PNR_NET_DIR  ${PNR_DIR}/netlist
 setenv PNR_REP_DIR  ${PNR_DIR}/reports
-setenv PNR_OA_LIB   liboa
 
 #-----------------------------------------------------------------------------
 # CONFIGURATION DU KIT GPDK045
@@ -112,7 +111,8 @@ alias innovus_help "${CMC_CDS_INNOVUS_HOME}/bin/cdnshelp"
 source ${CMC_HOME}/scripts/cadence.ssv16.16.000.csh
 alias voltus "voltus -overwrite -no_logv"
 alias tempus "tempus -overwrite -no_logv"
-alias ssv_help "${CMC_CDS_SSV_HOME}/bin/cdnshelp"
+alias voltus_help "${CMC_CDS_SSV_HOME}/bin/cdnshelp"
+alias tempus_help "${CMC_CDS_SSV_HOME}/bin/cdnshelp"
 
 # QUANTUS QRC
 source ${CMC_HOME}/scripts/cadence.ext19.10.000.csh
diff --git a/compteur/simulation/.gitignore b/compteur/simulation/.gitignore
index 02a9691..583adbf 100644
--- a/compteur/simulation/.gitignore
+++ b/compteur/simulation/.gitignore
@@ -1,8 +1,8 @@
-*~
-beh/work/
-syn/work/
-pnr/work/
 transcript
-*.wlf
+modelsim.ini
+*/work/
 *.vcd
+*.saif
+*.wlf
 *.log
+*.mti
diff --git a/compteur/simulation/modelsim.ini b/compteur/simulation/modelsim.ini
deleted file mode 100644
index 2583d9e..0000000
--- a/compteur/simulation/modelsim.ini
+++ /dev/null
@@ -1,2127 +0,0 @@
-; vsim modelsim.ini file
-[Version]
-INIVersion = "10.7c"
-
-; Copyright 1991-2018 Mentor Graphics Corporation
-;
-; All Rights Reserved.
-;
-; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
-; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-;   
-
-[Library]
-others = $MODEL_TECH/../modelsim.ini
-;
-; VITAL concerns:
-;
-; The library ieee contains (among other packages) the packages of the
-; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
-; the physical library ieee (recommended), or use the physical library
-; vital2000, but not both.  The design can use logical library ieee and/or
-; vital2000 as long as each of these maps to the same physical library, either
-; ieee or vital2000.
-;
-; A design using the 1995 version of the VITAL packages, whether or not
-; it also uses the 2000 version of the VITAL packages, must have logical library
-; name ieee mapped to physical library vital1995.  (A design cannot use library
-; vital1995 directly because some packages in this library use logical name ieee
-; when referring to the other packages in the library.)  The design source
-; should use logical name ieee when referring to any packages there except the
-; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
-; name vital2000 (mapped to physical library vital2000) to refer to those
-; packages.
-; ieee = $MODEL_TECH/../vital1995
-;
-; For compatiblity with previous releases, logical library name vital2000 maps
-; to library vital2000 (a different library than library ieee, containing the
-; same packages).
-; A design should not reference VITAL from both the ieee library and the
-; vital2000 library because the vital packages are effectively different.
-; A design that references both the ieee and vital2000 libraries must have
-; both logical names ieee and vital2000 mapped to the same library, either of
-; these:
-;   $MODEL_TECH/../ieee
-;   $MODEL_TECH/../vital2000
-;
-
-; added mapping for ADMS
-
-;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
-;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
-;mvc_lib = $MODEL_TECH/../mvc_lib
-
-; Automatically perform logical->physical mapping for physical libraries that
-; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
-; The tail of the filesystem path name is chosen as the logical library name.
-; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
-; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
-; See the User Manual for more details.
-;
-; AutoLibMapping = 0
-
-gpdk45 = /CMC/kits/GPDK45/simlib/gsclib045_slow
-work = /export/tmp/fiorentino/Projects/tutoriel_numerique/compteur/simulation/pnr/work
-[DefineOptionset]
-; Define optionset entries for the various compilers, vmake, and vsim.
-; These option sets can be used with the "-optionset <optionsetname>" syntax.
-; i.e.
-;  vlog -optionset COMPILEDEBUG top.sv
-;  vsim -optionset UVMDEBUG my_top
-;
-; Following are some useful examples.
-
-; define a vsim optionset for uvm debugging
-UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
-
-; define a vopt optionset for debugging
-VOPTDEBUG = +acc -debugdb
-
-[encryption]
-; For vencrypt and vhencrypt.
-
-; Controls whether to encrypt whole files by ignoring all protect directives
-; (except "viewport" and "interface_viewport") that are present in the input.
-; The default is 0, use embedded protect directives to control the encryption.
-; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
-; wholefile = 0
-
-; Sets the data_method to use for the symmetric session key.
-; The session key is a symmetric key that is randomly generated for each
-; protected region (envelope) and is the heart of all encryption.  This is used
-; to set the length of the session key to generate and use when encrypting the
-; HDL text.  Supported values are aes128, aes192, and aes256.
-; data_method = aes128
-
-; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
-; "recipe" comprising an optional common block, at least one tool block (which
-; contains the key public key), and the text to be encrypted.  The common block
-; and any of the tool blocks may contain rights in the form of the "control"
-; directive.  The text to be encrypted is specified either by setting
-; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
-; the input HDL files.
-
-; Common recipe specification file.  This file is optional.  Its presence will
-; require at least one "toolblock" to be specified.
-; Directives such as "author" "author_info" and "data_method",
-; as well as the common block license specification, go in this file.
-; common = <file name>
-
-; Tool block specification recipe(s).  Public key file with optional tool block
-; file name.  May be multiply-defined; at least one tool block is required if
-; a recipe is being specified.
-; Key file is a file name with no extension (.deprecated or .active will be
-; supplied by the encryption tool).
-; Rights file name is optional.
-; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
-
-; Location of directory containing recipe files.
-; The default location is in the product installation directory.
-; keyring = $MODEL_TECH/../keyring
-
-; Enable encryption statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [cmd,msg].
-Stats = cmd,msg
-
-[vcom]
-; VHDL93 variable selects language version as the default. 
-; Default is VHDL-2002.
-; Value of 0 or 1987 for VHDL-1987.
-; Value of 1 or 1993 for VHDL-1993.
-; Default or value of 2 or 2002 for VHDL-2002.
-; Value of 3 or 2008 for VHDL-2008
-; Value of 4 or ams99 for VHDL-AMS-1999
-; Value of 5 or ams07 for VHDL-AMS-2007
-VHDL93 = 2002
-
-; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
-; ignoreStandardRealVector = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn off unbound-component warnings. Default is on.
-; Show_Warning1 = 0
-
-; Turn off process-without-a-wait-statement warnings. Default is on.
-; Show_Warning2 = 0
-
-; Turn off null-range warnings. Default is on.
-; Show_Warning3 = 0
-
-; Turn off no-space-in-time-literal warnings. Default is on.
-; Show_Warning4 = 0
-
-; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
-; Show_Warning5 = 0
-
-; Turn off optimization for IEEE std_logic_1164 package. Default is on.
-; Optimize_1164 = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Turn on resolving of ambiguous function overloading in favor of the
-; "explicit" function declaration (not the one automatically created by
-; the compiler for each type declaration). Default is off.
-; The .ini file has Explicit enabled so that std_logic_signed/unsigned
-; will match the behavior of synthesis tools.
-Explicit = 1
-
-; Turn off acceleration of the VITAL packages. Default is to accelerate.
-; NoVital = 1
-
-; Turn off VITAL compliance checking. Default is checking on.
-; NoVitalCheck = 1
-
-; Ignore VITAL compliance checking errors. Default is to not ignore.
-; IgnoreVitalErrors = 1
-
-; Turn off VITAL compliance checking warnings. Default is to show warnings.
-; Show_VitalChecksWarnings = 0
-
-; Turn off PSL assertion warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Keep silent about case statement static warnings.
-; Default is to give a warning.
-; NoCaseStaticError = 1
-
-; Keep silent about warnings caused by aggregates that are not locally static.
-; Default is to give a warning.
-; NoOthersStaticError = 1
-
-; Treat as errors:
-;   case statement static warnings
-;   warnings caused by aggregates that are not locally static
-; Overrides NoCaseStaticError, NoOthersStaticError settings.
-; PedanticErrors = 1
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on some limited synthesis rule compliance checking. Checks only:
-;    -- signals used (read) by a process must be in the sensitivity list
-; CheckSynthesis = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Require the user to specify a configuration for all bindings,
-; and do not generate a compile time default binding for the
-; component. This will result in an elaboration error of
-; 'component not bound' if the user fails to do so. Avoids the rare
-; issue of a false dependency upon the unused default binding.
-; RequireConfigForAllDefaultBinding = 1
-
-; Perform default binding at compile time.
-; Default is to do default binding at load time.
-; BindAtCompile = 1;
-
-; Inhibit range checking on subscripts of arrays. Range checking on
-; scalars defined with subtypes is inhibited by default.
-; NoIndexCheck = 1
-
-; Inhibit range checks on all (implicit and explicit) assignments to
-; scalar objects defined with subtypes.
-; NoRangeCheck = 1
-
-; Set the prefix to be honored for synthesis/coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Turn on code coverage in VHDL design units. Default is off.
-; Coverage = sbceft
-
-; Turn off code coverage in VHDL subprograms. Default is on.
-; CoverSub = 0
-
-; Automatically exclude VHDL case statement OTHERS choice branches.
-; This includes OTHERS choices in selected signal assigment statements.
-; Default is to not exclude.
-; CoverExcludeDefault = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Turn on or off clkOpt optimization for code coverage. Default is on.
-; CoverClkOpt = 1
-
-; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
-; CoverClkOptBuiltins = 0
-
-; Inform code coverage optimizations to respect VHDL 'H' and 'L'
-; values on signals in conditions and expressions, and to not automatically
-; convert them to '1' and '0'. Default is to not convert.
-; CoverRespectHandL = 0
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Use this directory for compiler temporary files instead of "work/_temp"
-; CompilerTempDir = /tmp
-
-; Set this to cause the compilers to force data to be committed to disk
-; when the files are closed.
-; SyncCompilerFiles = 1
-
-; Add VHDL-AMS declarations to package STANDARD
-; Default is not to add
-; AmsStandard = 1
-
-; Range and length checking will be performed on array indices and discrete
-; ranges, and when violations are found within subprograms, errors will be
-; reported. Default is to issue warnings for violations, because subprograms
-; may not be invoked.
-; NoDeferSubpgmCheck = 0
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; Controls whether or not to show immediate assertions with constant expressions
-; in GUI/report/UCDB etc. By default, immediate assertions with constant
-; expressions are shown in GUI/report/UCDB etc. This does not affect
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls how VHDL basic identifiers are stored with the design unit.
-; Does not make the language case-sensitive, affects only how declarations
-; declared with basic identifiers have their names stored and printed
-; (in the GUI, examine, etc.).
-; Default is to preserve the case as originally depicted in the VHDL source.
-; Value of 0 indicates to change all basic identifiers to lower case.
-; PreserveCase = 0
-
-; For Configuration Declarations, controls the effect that USE clauses have
-; on visibility inside the configuration items being configured.  If 1
-; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
-; extend the visibility of objects made visible through USE clauses into nested
-; component configurations.
-; OldVHDLConfigurationVisibility = 0
-
-; Allows VHDL configuration declarations to be in a different library from
-; the corresponding configured entity. Default is to not allow this for
-; stricter LRM-compliance.
-; SeparateConfigLibrary = 1;
-
-; Determine how mode OUT subprogram parameters of type array and record are treated.
-; If 0 (the default), then only VHDL 2008 will do this initialization.
-; If 1, always initialize the mode OUT parameter to its default value.
-; If 2, do not initialize the mode OUT out parameter.
-; Note that prior to release 10.1, all language versions did not initialize mode
-; OUT array and record type parameters, unless overridden here via this mechanism.
-; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
-; initialization, unless overridden here.
-; InitOutCompositeParam = 0
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[vlog]
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn on `protect compiler directive processing.
-; Default is to ignore `protect directives.
-; Protect = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on Verilog hazard checking (order-dependent accessing of global vars).
-; Default is off.
-; Hazard = 1
-
-; Turn on converting regular Verilog identifiers to uppercase. Allows case
-; insensitivity for module names. Default is no conversion.
-; UpCase = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn on bad option warning. Default is off.
-; Show_BadOptionWarning = 1
-
-; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
-; vlog95compat = 1
-
-; Turn off PSL warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Set the threshold for automatically identifying sparse Verilog memories.
-; A memory with total size in bytes equal to or more than the sparse memory
-; threshold gets marked as sparse automatically, unless specified otherwise
-; in source code or by the +nosparse commandline option of vlog or vopt.
-; The default is 1M.  (i.e. memories with total size equal
-; to or greater than 1Mb are marked as sparse)
-; SparseMemThreshold = 1048576 
-
-; Set the prefix to be honored for synthesis and coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Set the option to treat all files specified in a vlog invocation as a
-; single compilation unit. The default value is set to 0 which will treat
-; each file as a separate compilation unit as specified in the P1800 draft standard.
-; MultiFileCompilationUnit = 1
-
-; Turn on code coverage in Verilog design units. Default is off.
-; Coverage = sbceft
-
-; Automatically exclude Verilog case statement default branches. 
-; Default is to not automatically exclude defaults.
-; CoverExcludeDefault = 1
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Turn on code coverage in VLOG `celldefine modules, modules containing
-; specify blocks, and modules included using vlog -v and -y. Default is off.
-; CoverCells = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on. This is a number from 0 to 5, with the following
-; meanings (the default is 3):
-;    5 -- All allowable optimizations are on.
-;    4 -- Turn off removing unreferenced code.
-;    3 -- Turn off process, always block and if statement merging.
-;    2 -- Turn off expression optimization, converting primitives
-;         to continuous assignments, VHDL subprogram inlining.
-;         and VHDL clkOpt (converting FF's to builtins).
-;    1 -- Turn off continuous assignment optimizations and clock suppression.
-;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
-; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
-; level 3, with also turning off converting primitives to continuous assigns.
-; CoverOpt = 3
-
-; Specify the override for the default value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then LRM default
-; value of 0 (zero) is used. This is a compile time option.
-; SVCrossNumPrintMissingDefault = 0
-
-; Setting following to 1 would cause creation of variables which
-; would represent the value of Coverpoint expressions. This is used
-; in conjunction with "SVCoverpointExprVariablePrefix" option
-; in the modelsim.ini
-; EnableSVCoverpointExprVariable = 0
-
-; Specify the override for the prefix used in forming the variable names
-; which represent the Coverpoint expressions. This is used in conjunction with 
-; "EnableSVCoverpointExprVariable" option of the modelsim.ini
-; The default prefix is "expr".
-; The variable name is
-;    variable name => <prefix>_<coverpoint name>
-; SVCoverpointExprVariablePrefix = expr
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross option.goal (defined to be 100 in the LRM).
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupGoalDefault = 100
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupTypeGoalDefault = 100
-
-; Specify the override for the default value of "strobe" option for the
-; Covergroup Type. This is a compile time option which forces "strobe" to
-; a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero). NOTE: This can be overriden by a runtime
-; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
-; SVCovergroupStrobeDefault = 0
-
-; Specify the override for the default value of "per_instance" option for the
-; Covergroup variables. This is a compile time option which forces "per_instance"
-; to a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero).
-; SVCovergroupPerInstanceDefault = 0
-
-; Specify the override for the default value of "get_inst_coverage" option for the
-; Covergroup variables. This is a compile time option which forces 
-; "get_inst_coverage" to a user specified default value and supersedes 
-; SystemVerilog specified default value of '0'(zero).
-; SVCovergroupGetInstCoverageDefault = 0
-
-;
-; A space separated list of resource libraries that contain precompiled
-; packages.  The behavior is identical to using the "-L" switch.
-; 
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
-
-; The behavior is identical to the "-mixedansiports" switch.  Default is off.
-; MixedAnsiPorts = 1
-
-; Enable SystemVerilog 3.1a $typeof() function. Default is off.
-; EnableTypeOf = 1
-
-; Only allow lower case pragmas. Default is disabled.
-; AcceptLowerCasePragmaOnly = 1
-
-; Set the maximum depth permitted for a recursive include file nesting.
-; IncludeRecursionDepthMax = 5
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn off detections of FSMs having x-assignment.
-; FsmXAssign = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; List of file suffixes which will be read as SystemVerilog.  White space
-; in extensions can be specified with a back-slash: "\ ".  Back-slashes
-; can be specified with two consecutive back-slashes: "\\";
-; SvFileSuffixes = sv svp svh
-
-; This setting is the same as the vlog -sv command line switch.
-; Enables SystemVerilog features and keywords when true (1).
-; When false (0), the rules of IEEE Std 1364-2001 are followed and 
-; SystemVerilog keywords are ignored. 
-; Svlog = 0
-
-; Prints attribute placed upon SV packages during package import
-; when true (1).  The attribute will be ignored when this
-; entry is false (0). The attribute name is "package_load_message".
-; The value of this attribute is a string literal.
-; Default is true (1).
-; PrintSVPackageLoadingAttribute = 1
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls if untyped parameters that are initialized with values greater
-; than 2147483647 are mapped to generics of type INTEGER or ignored.
-; If mapped to VHDL Integers, values greater than 2147483647
-; are mapped to negative values.
-; Default is to map these parameter to generic of type INTEGER
-; ForceUnsignedToVHDLInteger = 1
-
-; Enable AMS wreal (wired real) extensions.  Default is 0.
-; WrealType = 1
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Controls how $unit library entries are named.  Valid options are:
-; "file" (generate name based on the first file on the command line)
-; "du" (generate name based on first design unit following an item
-; found in $unit scope)
-; CUAutoName = file
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[sccom]
-; Enable use of SCV include files and library.  Default is off.
-; UseScv = 1
-
-; Add C++ compiler options to the sccom command line by using this variable.
-; CppOptions = -g
-
-; Use custom C++ compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; CppPath = /usr/bin/g++
-
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.3.3, 4.5.0
-; CppInstall = 4.5.0
-
-; Enable verbose messages from sccom.  Default is off.
-; SccomVerbose = 1
-
-; sccom logfile.  Default is no logfile.
-; SccomLogfile = sccom.log
-
-; Enable use of SC_MS include files and library.  Default is off.
-; UseScMs = 1
-
-; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
-; Sc22Mode = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Enable use of UVMC library.  Default is off.
-; UseUvmc = 1
-
-[vopt]
-; Turn on code coverage in vopt.  Default is off. 
-; Coverage = sbceft
-
-; Control compiler optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Controls set of CoverConstructs that are being considered for Coverage 
-; Collection.
-; Some of Valid options are: default,set1,set2
-; Covermode = default
-
-; Controls set of HDL cover constructs that would be considered(or not considered)
-; for Coverage Collection. (Default corresponds to covermode default). 
-; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
-; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Set the maximum number of iterations permitted for a generate loop.
-; Restricting this permits the implementation to recognize infinite
-; generate loops.
-; GenerateLoopIterationMax = 100000
-
-; Set the maximum depth permitted for a recursive generate instantiation.
-; Restricting this permits the implementation to recognize infinite
-; recursions.
-; GenerateRecursionDepthMax = 200
-
-; Set the number of processes created during the code generation phase.
-; By default a heuristic is used to set this value.  This may be set to 0
-; to disable this feature completely.
-; ParallelJobs = 0 
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Disable SystemVerilog elaboration system task messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[vsim]
-; vopt flow
-; Set to turn on automatic optimization of a design.
-; Default is on
-VoptFlow = 1
-
-; Simulator resolution
-; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
-Resolution = ns
-
-; Disable certain code coverage exclusions automatically. 
-; Assertions and FSM are exluded from the code coverage by default
-; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
-; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
-; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
-; Or specify comma or space separated list
-;AutoExclusionsDisable = fsm,assertions
-
-; User time unit for run commands
-; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
-; unit specified for Resolution. For example, if Resolution is 100ps,
-; then UserTimeUnit defaults to ps.
-; Should generally be set to default.
-UserTimeUnit = default
-
-; Default run length
-RunLength = 100
-
-; Maximum iterations that can be run without advancing simulation time
-IterationLimit = 10000000
-
-; Specify libraries to be searched for precompiled modules
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-
-; Set XPROP assertion fail limit. Default is 5.
-; Any positive integer, -1 for infinity.
-; XpropAssertionLimit = 5
-
-; Control PSL and Verilog Assume directives during simulation
-; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
-; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
-; SimulateAssumeDirectives = 1 
-
-; Control the simulation of PSL and SVA
-; These switches can be overridden by the vsim command line switches:
-;    -psl, -nopsl, -sva, -nosva.
-; Set SimulatePSL = 0 to disable PSL simulation
-; Set SimulatePSL = 1 to enable PSL simulation (default)
-; SimulatePSL = 1 
-; Set SimulateSVA = 0 to disable SVA simulation
-; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
-; SimulateSVA = 1 
-
-; Control SVA and VHDL immediate assertion directives during simulation
-; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts 
-; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
-; SimulateImmedAsserts = 1 
-
-; License feature mappings for Verilog and VHDL
-; qhsimvh       Single language VHDL license
-; qhsimvl       Single language Verilog license
-; msimhdlsim    Language neutral license for either Verilog or VHDL
-; msimhdlmix    Second language only, language neutral license for either 
-;               Verilog or VHDL
-;
-; Directives to license manager can be set either as single value or as
-; space separated multi-values:
-; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
-;               qhsimvh, msimhdlsim, or msimhdlmix)
-; vlog          Immediately checkout and hold a Verilog license (i.e., one of
-;               qhsimvl, msimhdlsim, or msimhdlmix)
-; plus          Immediately checkout and hold a VHDL license and a Verilog license
-; noqueue       Do not wait in the license queue when a license is not available
-; viewsim       Try for viewer license but accept simulator license(s) instead
-;               of queuing for viewer license (PE ONLY)
-; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
-; noslvhdl      Disable checkout of qhsimvh license feature
-; noslvlog      Disable checkout of qhsimvl license feature
-; nomix         Disable checkout of msimhdlmix license feature
-; nolnl         Disable checkout of msimhdlsim license feature
-; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
-; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
-;
-; Examples (remove ";" comment character to activate licensing directives):
-; Single directive:
-; License = plus
-; Multi-directive (Note: space delimited directives):
-; License = noqueue plus
-
-; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
-; which will cause a running simulation to stop.
-; VHDL assertions and SystemVerilog severity system task that occur with the
-; given severity or higher will cause a running simulation to stop.
-; This value is ignored during elaboration.
-; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-BreakOnAssertion = 3
-
-; Severity level of a tool message which will cause a running simulation to 
-; stop. This value is ignored during elaboration. Default is to not break.
-; 0 = Note  1 = Warning  2 = Error  3 = Fatal
-;BreakOnMessage = 2
-
-; The class debug feature enables more visibility and tracking of class instances
-; during simulation.  By default this feature is disabled (0).  To enable this 
-; feature set ClassDebug to 1.
-; ClassDebug = 1
-
-; Message Format conversion specifications:
-; %S - Severity Level of message/assertion
-; %R - Text of message
-; %T - Time of message
-; %D - Delta value (iteration number) of Time
-; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
-; %i - Instance/Region/Signal pathname with Process name (if available)
-; %I - shorthand for one of these:
-;      "  %K: %i"
-;      "  %K: %i File: %F" (when path is not Process or Signal)
-;      except that the %i in this case does not report the Process name
-; %O - Process name
-; %P - Instance/Region path without leaf process
-; %F - File name
-; %L - Line number; if assertion message, then line number of assertion or, if
-;      assertion is in a subprogram, line from which the call is made
-; %u - Design unit name in form library.primary
-; %U - Design unit name in form library.primary(secondary)
-; %% - The '%' character itself
-;
-; If specific format for Severity Level is defined, use that format.
-; Else, for a message that occurs during elaboration:
-;   -- Failure/Fatal message in VHDL region that is not a Process, and in
-;      certain non-VHDL regions, uses MessageFormatBreakLine;
-;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
-;   -- Note/Warning/Error message uses MessageFormat.
-; Else, for a message that occurs during runtime and triggers a breakpoint because
-; of the BreakOnAssertion setting:
-;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
-;   -- otherwise uses MessageFormatBreak.
-; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
-;
-; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
-; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-
-; Error File - alternate file for storing error messages
-; ErrorFile = error.log
-
-; Simulation Breakpoint messages
-; This flag controls the display of function names when reporting the location
-; where the simulator stops because of a breakpoint or fatal error.
-; Example with function name:    # Break in Process ctr at counter.vhd line 44
-; Example without function name: # Break at counter.vhd line 44
-; Default value is 1.
-ShowFunctions = 1
-
-; Default radix for all windows and commands.
-; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
-; Flags may be one of: enumnumeric, showbase, wreal
-DefaultRadix = hexadecimal
-DefaultRadixFlags = showbase
-; Set to 1 for make the signal_force VHDL and Verilog functions use the 
-; default radix when processing the force value. Prior to 10.2 signal_force
-; used the default radix, now it always uses symbolic unless value explicitly indicates base
-;SignalForceFunctionUseDefaultRadix = 0
-
-; VSIM Startup command
-; Startup = do startup.do
-
-; VSIM Shutdown file
-; Filename to save u/i formats and configurations.
-; ShutdownFile = restart.do
-; To explicitly disable auto save:
-; ShutdownFile = --disable-auto-save
-
-; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
-; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
-; BatchMode = 1
-
-; File for saving command transcript when -batch option used
-; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
-; default is unset so command transcript only goes to stdout for better performance
-; BatchTranscriptFile = transcript
-
-; File for saving command transcript, this option is ignored when -batch option is used
-TranscriptFile = transcript
-
-; Transcript file long line wrapping mode(s)
-;   mode == 0 :: no wrapping, line recorded as is
-;   mode == 1 :: wrap at first whitespace after WSColumn
-;                or at Column.
-;   mode == 2 :: wrap as above, but add continuation 
-;                character ('\') at end of each wrapped line
-;
-; WrapMode = 0
-; WrapColumn = 30000
-; WrapWSColumn = 27000
-
-; File for saving command history
-; CommandHistory = cmdhist.log
-
-; Specify whether paths in simulator commands should be described
-; in VHDL or Verilog format.
-; For VHDL, PathSeparator = /
-; For Verilog, PathSeparator = .
-; Must not be the same character as DatasetSeparator.
-PathSeparator = /
-
-; Specify the dataset separator for fully rooted contexts.
-; The default is ':'. For example: sim:/top
-; Must not be the same character as PathSeparator.
-DatasetSeparator = :
-
-; Specify a unique path separator for the Signal Spy set of functions. 
-; The default will be to use the PathSeparator variable.
-; Must not be the same character as DatasetSeparator.
-; SignalSpyPathSeparator = /
-
-; Used to control parsing of HDL identifiers input to the tool.
-; This includes CLI commands, vsim/vopt/vlog/vcom options,
-; string arguments to FLI/VPI/DPI calls, etc.
-; If set to 1, accept either Verilog escaped Id syntax or
-; VHDL extended id syntax, regardless of source language.
-; If set to 0, the syntax of the source language must be used.
-; Each identifier in a hierarchical name may need different syntax,
-; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
-;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
-; GenerousIdentifierParsing = 1
-
-; Disable VHDL assertion messages
-; IgnoreNote = 1
-; IgnoreWarning = 1
-; IgnoreError = 1
-; IgnoreFailure = 1
-
-; Disable SystemVerilog assertion messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Do not print any additional information from Severity System tasks.
-; Only the message provided by the user is printed along with severity
-; information.
-; SVAPrintOnlyUserMessage = 1;
-
-; Default force kind. May be freeze, drive, deposit, or default
-; or in other terms, fixed, wired, or charged.
-; A value of "default" will use the signal kind to determine the
-; force kind, drive for resolved signals, freeze for unresolved signals
-; DefaultForceKind = freeze
-
-; Control the iteration of events when a VHDL signal is forced to a value
-; This flag can be set to honour the signal update event in next iteration,
-; the default is to update and propagate in the same iteration.
-; ForceSigNextIter = 1
-
-; Enable simulation statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; If zero, open files when elaborated; otherwise, open files on
-; first read or write.  Default is 0.
-; DelayFileOpen = 1
-
-; Control VHDL files opened for write.
-;   0 = Buffered, 1 = Unbuffered
-UnbufferedOutput = 0
-
-; Control the number of VHDL files open concurrently.
-; This number should always be less than the current ulimit
-; setting for max file descriptors.
-;   0 = unlimited
-ConcurrentFileLimit = 40
-
-; If nonzero, close files as soon as there is either an explicit call to
-; file_close, or when the file variable's scope is closed. When zero, a
-; file opened in append mode is not closed in case it is immediately
-; reopened in append mode; otherwise, the file will be closed at the
-; point it is reopened.
-; AppendClose = 1
-
-; Control the number of hierarchical regions displayed as
-; part of a signal name shown in the Wave window.
-; A value of zero tells VSIM to display the full name.
-; The default is 0.
-; WaveSignalNameWidth = 0
-
-; Turn off warnings when changing VHDL constants and generics
-; Default is 1 to generate warning messages
-; WarnConstantChange = 0
-
-; Turn off warnings from accelerated versions of the std_logic_arith,
-; std_logic_unsigned, and std_logic_signed packages.
-; StdArithNoWarnings = 1
-
-; Turn off warnings from accelerated versions of the IEEE numeric_std
-; and numeric_bit packages.
-; NumericStdNoWarnings = 1
-
-; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
-; in the design hierarchy.
-; This style is controlled by the value of the GenerateFormat
-; value described next.  Default is to use new-style names, which
-; comprise the generate statement label, '(', the value of the generate
-; parameter, and a closing ')'.
-; Set this to 1 to use old-style names.
-; OldVhdlForGenNames = 1
-
-; Control the format of the old-style VHDL FOR generate statement region
-; name for each iteration.  Do not quote the value.
-; The format string here must contain the conversion codes %s and %d,
-; in that order, and no other conversion codes.  The %s represents
-; the generate statement label; the %d represents the generate parameter value
-; at a particular iteration (this is the position number if the generate parameter
-; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
-; leading and trailing whitespace is ignored.
-; Application of the format must result in a unique region name over all
-; loop iterations for a particular immediately enclosing scope so that name
-; lookup can function properly.  The default is %s__%d.
-; GenerateFormat = %s__%d
-
-; Enable more efficient logging of VHDL Variables.
-; Logging VHDL variables without this enabled, while possible, is very
-; inefficient.  Enabling this will provide a more efficient logging methodology
-; at the expense of more memory usage.  By default this feature is disabled (0).
-; To enabled this feature, set this variable to 1.
-; VhdlVariableLogging = 1
-
-; Enable logging of VHDL access type variables and their designated objects.
-; This setting will allow both variables of an access type ("access variables")
-; and their designated objects ("access objects") to be logged.  Logging a
-; variable of an access type will automatically also cause the designated
-; object(s) of that variable to be logged as the simulation progresses.
-; Further, enabling this allows access objects to be logged by name.  By default
-; this feature is disabled (0).  To enable this feature, set this variable to 1.
-; Enabling this will automatically enable the VhdlVariableLogging feature also.
-; AccessObjDebug = 1
-
-; Make each VHDL package in a PDU has its own separate copy of the package instead
-; of sharing the package between PDUs. The default is to share packages.
-; To ensure that each PDU has its own set of packages, set this variable to 1.
-; VhdlSeparatePduPackage = 1
-
-; Specify whether checkpoint files should be compressed.
-; The default is 1 (compressed).
-; CheckpointCompressMode = 0
-
-; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
-; Use custom gcc compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; DpiCppPath = <your-gcc-installation>/bin/gcc
-;
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.5.0, 4.7.4
-; DpiCppInstall = 4.7.4
-
-; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
-; The term "out-of-the-blue" refers to SystemVerilog export function calls
-; made from C functions that don't have the proper context setup
-; (as is the case when running under "DPI-C" import functions).
-; When this is enabled, one can call a DPI export function
-; (but not task) from any C code.
-; the setting of this variable can be one of the following values:
-; 0 : dpioutoftheblue call is disabled (default)
-; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
-; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
-; DpiOutOfTheBlue = 1
-
-; Specify whether continuous assignments are run before other normal priority
-; processes scheduled in the same iteration. This event ordering minimizes race
-; differences between optimized and non-optimized designs, and is the default
-; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
-; ImmediateContinuousAssign to 0.
-; The default is 1 (enabled).
-; ImmediateContinuousAssign = 0
-
-; List of dynamically loaded objects for Verilog PLI applications
-; Veriuser = veriuser.sl
-
-; Which default VPI object model should the tool conform to?
-; The 1364 modes are Verilog-only, for backwards compatibility with older
-; libraries, and SystemVerilog objects are not available in these modes.
-; 
-; In the absence of a user-specified default, the tool default is the
-; latest available LRM behavior.
-; Options for PliCompatDefault are:
-;  VPI_COMPATIBILITY_VERSION_1364v1995
-;  VPI_COMPATIBILITY_VERSION_1364v2001
-;  VPI_COMPATIBILITY_VERSION_1364v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2008
-;
-; Synonyms for each string are also recognized:
-;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
-;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
-;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
-
-
-; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
-
-; Specify whether the Verilog system task $fopen or vpi_mcd_open()
-; will create directories that do not exist when opening the file
-; in "a" or "w" mode.
-; The default is 0 (do not create non-existent directories)
-; CreateDirForFileAccess = 1
-
-; Specify default options for the restart command. Options can be one
-; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
-; DefaultRestartOptions = -force
-
-
-; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
-; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
-; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
-; The list of options must be delimited by commas, without spaces or tabs.
-;
-; Some examples
-; To turn on all available UVM-aware debug features:
-; UVMControl = all
-; To turn on the struct window, mesage logging, and transaction logging:
-; UVMControl = struct,msglog,trlog
-; To turn on all options except certe:
-; UVMControl = all,-certe
-; To completely disable all UVM-aware debug functionality:
-; UVMControl = disable
-
-; Specify the WildcardFilter setting.
-; A space separated list of object types to be excluded when performing
-; wildcard matches with log, wave, etc commands.  The default value for this variable is:
-;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
-; See "Using the WildcardFilter Preference Variable" in the documentation for
-; details on how to use this variable and for descriptions of the filter types.
-WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
-
-; Specify the WildcardSizeThreshold setting.
-; This integer setting specifies the size at which objects will be excluded when 
-; performing wildcard matches with log, wave, etc commands.  Objects of size equal
-; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
-; matches.  The size is a simple calculation of number of bits or items in the object.  
-; The default value is 8k (8192).  Setting this value to 0 will disable the checking 
-; of object size against this threshold and allow all objects of any size to be logged.
-WildcardSizeThreshold = 8192
-
-; Specify whether warning messages are output when objects are filtered out due to the
-; WildcardSizeThreshold.  The default is 0 (no messages generated).
-WildcardSizeThresholdVerbose = 0
-
-; Turn on (1) or off (0) WLF file compression.
-; The default is 1 (compress WLF file).
-; WLFCompress = 0
-
-; Specify whether to save all design hierarchy (1) in the WLF file
-; or only regions containing logged signals (0).
-; The default is 0 (save only regions with logged signals).
-; WLFSaveAllRegions = 1
-
-; WLF file time limit.  Limit WLF file by time, as closely as possible,
-; to the specified amount of simulation time.  When the limit is exceeded
-; the earliest times get truncated from the file.
-; If both time and size limits are specified the most restrictive is used.
-; UserTimeUnits are used if time units are not specified.
-; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
-; WLFTimeLimit = 0
-
-; WLF file size limit.  Limit WLF file size, as closely as possible,
-; to the specified number of megabytes.  If both time and size limits
-; are specified then the most restrictive is used.
-; The default is 0 (no limit).
-; WLFSizeLimit = 1000
-
-; Specify whether or not a WLF file should be deleted when the
-; simulation ends.  A value of 1 will cause the WLF file to be deleted.
-; The default is 0 (do not delete WLF file when simulation ends).
-; WLFDeleteOnQuit = 1
-
-; Specify whether or not a WLF file should be optimized during 
-; simulation.  If set to 0, the WLF file will not be optimized.
-; The default is 1, optimize the WLF file.
-; WLFOptimize = 0
-
-; Specify the name of the WLF file.
-; The default is vsim.wlf
-; WLFFilename = vsim.wlf
-
-; Specify whether to lock the WLF file.
-; Locking the file prevents other invocations of ModelSim/Questa tools from
-; inadvertently overwriting the WLF file.
-; The default is 1, lock the WLF file.
-; WLFFileLock = 0
-
-; Specify the update interval for the WLF file in live simulation.
-; The interval is given in seconds.
-; The value is the smallest interval between WLF file updates.  The WLF file
-; will be flushed (updated) after (at least) the interval has elapsed, ensuring
-; that the data is correct when viewed from a separate viewer.
-; A value of 0 means that no updating will occur.
-; The default value is 10 seconds.
-; WLFUpdateInterval = 10
-
-; Specify the WLF cache size limit for WLF files.
-; The value is given in megabytes.  A value of 0 turns off the cache.
-; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
-; On Windows, the default value is 1000 (megabytes) to help to avoid filling
-; process memory.
-; WLFSimCacheSize allows a different cache size to be set for a live simulation
-; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
-; is not set, it defaults to the WLFCacheSize value.
-; WLFCacheSize = 2000
-; WLFSimCacheSize = 500
-
-; Specify the WLF file event collapse mode.
-; 0 = Preserve all events and event order. (same as -wlfnocollapse)
-; 1 = Only record values of logged objects at the end of a simulator iteration. 
-;     (same as -wlfcollapsedelta)
-; 2 = Only record values of logged objects at the end of a simulator time step. 
-;     (same as -wlfcollapsetime)
-; The default is 1.
-; WLFCollapseMode = 0
-
-; Specify whether WLF file logging can use threads on multi-processor machines.
-; If 0, no threads will be used; if 1, threads will be used if the system has
-; more than one processor.
-; WLFUseThreads = 1
-
-; Specify the size of objects that will trigger "large object" messages
-; at log/wave/list time.  The size calculation of the object is the same as that
-; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
-; Setting LargeObjectSize to 0 will disable these messages.
-; LargeObjectSize = 500000
-
-; Specify the depth of stack frames returned by $stacktrace([level]).
-; This depth will be picked up when the optional 'level' argument
-; is not specified or its value is not a positive integer. 
-; StackTraceDepth = 100
-
-; Turn on/off undebuggable SystemC type warnings. Default is on.
-; ShowUndebuggableScTypeWarning = 0
-
-; Turn on/off unassociated SystemC name warnings. Default is off.
-; ShowUnassociatedScNameWarning = 1
-
-; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
-; ScShowIeeeDeprecationWarnings = 1
-
-; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
-; ScEnableScSignalWriteCheck = 1
-
-; Set SystemC default time unit.
-; Set to fs, ps, ns, us, ms, or sec with optional 
-; prefix of 1, 10, or 100.  The default is 1 ns.
-; The ScTimeUnit value is honored if it is coarser than Resolution.
-; If ScTimeUnit is finer than Resolution, it is set to the value
-; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
-; then the default time unit will be 1 ns.  However if Resolution 
-; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
-ScTimeUnit = ns
-
-; Set SystemC sc_main stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
-; on the amount of data on the sc_main() stack and the memory required
-; to succesfully execute the longest function call chain of sc_main().
-ScMainStackSize = 10 Mb
-
-; Set SystemC thread stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). The stack size for sc_thread depends
-; on the amount of data on the sc_thread stack and the memory required
-; to succesfully execute the thread.
-; ScStackSize = 1 Mb
-
-; Turn on/off execution of remainder of sc_main upon quitting the current
-; simulation session. If the cumulative length of sc_main() in terms of 
-; simulation time units is less than the length of the current simulation
-; run upon quit or restart, sc_main() will be in the middle of execution.
-; This switch gives the option to execute the remainder of sc_main upon
-; quitting simulation. The drawback of not running sc_main till the end
-; is memory leaks for objects created by sc_main. If on, the remainder of
-; sc_main will be executed ignoring all delays. This may cause the simulator
-; to crash if the code in sc_main is dependent on some simulation state.
-; Default is on.
-ScMainFinishOnQuit = 1
-
-; Enable calling of the DPI export taks/functions from the
-; SystemC start_of_simulation() callback.
-; The default is off.
-; EnableDpiSosCb = 1
-
-
-; Set the SCV relationship name that will be used to identify phase
-; relations.  If the name given to a transactor relation matches this
-; name, the transactions involved will be treated as phase transactions
-ScvPhaseRelationName = mti_phase
-
-; Customize the vsim kernel shutdown behavior at the end of the simulation.
-; Some common causes of the end of simulation are $finish (implicit or explicit), 
-; sc_stop(), tf_dofinish(), and assertion failures. 
-; This should be set to "ask", "exit", or "stop". The default is "ask".
-; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
-;            In GUI mode, a dialog box will pop up and ask for user confirmation 
-;            whether or not to quit the simulation.
-; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
-;            post-simulation tasks easier.
-; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
-; "final" -- Run SystemVerilog final blocks then behave as "stop".
-; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
-OnFinish = ask
-
-; Print pending deferred assertion messages. 
-; Deferred assertion messages may be scheduled after the $finish in the same 
-; time step. Deferred assertions scheduled to print after the $finish are 
-; printed before exiting with severity level NOTE since it's not known whether
-; the assertion is still valid due to being printed in the active region
-; instead of the reactive region where they are normally printed.
-; OnFinishPendingAssert = 1;
-
-; Print "simstats" result. Default is 0.
-; 0 == do not print simstats
-; 1 == print at end of simulation
-; 2 == print at end of each run command and end of simulation
-; PrintSimStats = 1
-
-; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
-; AssertFile = assert.log
-
-; Enable assertion counts. Default is off.
-; AssertionCounts = 1
-
-; Run simulator in assertion debug mode. Default is off.
-; AssertionDebug = 1
-
-; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
-; AssertionEnable = 0
-
-; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
-; Any positive integer, -1 for infinity.
-; AssertionLimit = 1
-
-; Turn on/off concurrent assertion pass log. Default is off. 
-; Assertion pass logging is only enabled when assertion is browseable 
-; and assertion debug is enabled.
-; AssertionPassLog = 1
-
-; Turn on/off PSL concurrent assertion fail log. Default is on.
-; The flag does not affect SVA
-; AssertionFailLog = 0
-
-; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
-; AssertionFailLocalVarLog = 0
-
-; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
-; 0 = Continue  1 = Break  2 = Exit
-; AssertionFailAction = 1
-
-; Enable the active thread monitor in the waveform display when assertion debug is enabled.
-; AssertionActiveThreadMonitor = 1
-
-; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
-; AssertionActiveThreadMonitorLimit = 5
-
-; Assertion thread limit after which assertion would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for an assertion go 
-; beyond this limit, the assertion would be either switched off or killed. This
-; limit applies to only assert directives.
-;AssertionThreadLimit = -1
-
-; Action to be taken once the assertion thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only assert directives.
-;AssertionThreadLimitAction = kill
-
-; Cover thread limit after which cover would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for a cover go 
-; beyond this limit, the cover would be either switched off or killed. This
-; limit applies to only cover directives.
-;CoverThreadLimit = -1
-
-; Action to be taken once the cover thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only cover directives.
-;CoverThreadLimitAction = kill
-
-
-; By default immediate assertions do not participate in Assertion Coverage calculations
-; unless they are executed.  This switch causes all immediate assertions in the design
-; to participate in Assertion Coverage calculations, whether attempted or not.
-; UnattemptedImmediateAssertions = 0
-
-; By default immediate covers participate in Coverage calculations 
-; whether they are attempted or not. This switch causes all unattempted 
-; immediate covers in the design to stop participating in Coverage 
-; calculations.
-; UnattemptedImmediateCovers = 0
-
-; By default pass action block is not executed for assertions on vacuous 
-; success. The following variable is provided to enable execution of 
-; pass action block on vacuous success. The following variable is only effective
-; if the user does not disable pass action block execution by using either 
-; system tasks or CLI. Also there is a performance penalty for enabling 
-; the following variable. 
-;AssertionEnableVacuousPassActionBlock = 1
-
-; As per strict 1850-2005 PSL LRM, an always property can either pass
-; or fail. However, by default, Questa reports multiple passes and
-; multiple fails on top always/never property (always/never operator
-; is the top operator under Verification Directive). The reason
-; being that Questa reports passes and fails on per attempt of the
-; top always/never property. Use the following flag to instruct
-; Questa to strictly follow LRM. With this flag, all assert/never
-; directives will start an attempt once at start of simulation.
-; The attempt can either fail, match or match vacuously.
-; For e.g. if always is the top operator under assert, the always will
-; keep on checking the property at every clock. If the property under
-; always fails, the directive will be considered failed and no more 
-; checking will be done for that directive. A top always property,
-; if it does not fail, will show a pass at end of simulation.
-; The default value is '0' (i.e. zero is off). For example:
-; PslOneAttempt = 1
-
-; Specify the number of clock ticks to represent infinite clock ticks.
-; This affects eventually!, until! and until_!. If at End of Simulation
-; (EOS) an active strong-property has not clocked this number of
-; clock ticks then neither pass or fail (vacuous match) is returned
-; else respective fail/pass is returned. The default value is '0' (zero)
-; which effectively does not check for clock tick condition. For example:
-; PslInfinityThreshold = 5000
-
-; Control how many thread start times will be preserved for ATV viewing for a given assertion
-; instance.  Default is -1 (ALL).
-; ATVStartTimeKeepCount = -1
-
-; Turn on/off code coverage
-; CodeCoverage = 0
-
-; This option applies to condition and expression coverage UDP tables. It
-; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
-; If this option is used and a match occurs in more than one row in the UDP table,
-; none of the counts for all matching rows is incremented. By default, counts are
-; incremented for all matching rows.
-; CoverCountAll = 1
-
-; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
-; is to include them.
-; ToggleNoIntegers = 1
-
-; Set the maximum number of values that are collected for toggle coverage of
-; VHDL integers. Default is 100;
-; ToggleMaxIntValues = 100
-
-; Set the maximum number of values that are collected for toggle coverage of
-; Verilog real. Default is 100;
-; ToggleMaxRealValues = 100
-
-; Turn on automatic inclusion of Verilog integers in toggle coverage, except
-; for enumeration types. Default is to include them.
-; ToggleVlogIntegers = 0
-
-; Turn on automatic inclusion of Verilog real type in toggle coverage, except
-; for shortreal types. Default is to not include them.
-; ToggleVlogReal = 1
-
-; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
-; and VHDL arrays-of-arrays in toggle coverage.
-; Default is to not include them.
-; ToggleFixedSizeArray = 1
-
-; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
-; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
-; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
-; Default is 1024.
-; ToggleMaxFixedSizeArray = 1024
-
-; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
-; one-dimensional packed vectors for toggle coverage. Default is 0.
-; TogglePackedAsVec = 0
-
-; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
-; toggle coverage. Default is 0.
-; ToggleVlogEnumBits = 0
-
-; Turn off automatic inclusion of VHDL records in toggle coverage.
-; Default is to include them.
-; ToggleVHDLRecords = 0
-
-; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
-; For unlimited width, set to 0.
-; ToggleWidthLimit = 128
-
-; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
-; reached this count, further activity on the bit is ignored. Default is 1.
-; For unlimited counts, set to 0.
-; ToggleCountLimit = 1
-
-; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
-; Following is the toggle coverage calculation criteria based on extended toggle mode:
-; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
-; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
-; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
-; ExtendedToggleMode = 3
-
-; Enable toggle statistics collection only for ports. Default is 0.
-; TogglePortsOnly = 1
-
-; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; FecCountLimit = 1
-
-; Limit the counts that are tracked for UDP Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; UdpCountLimit = 1
-
-; Control toggle coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either 
-; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; ToggleDeglitchPeriod = 10.0ps
-
-; Turn on/off all PSL/SVA cover directive enables.  Default is on.
-; CoverEnable = 0
-
-; Turn on/off PSL/SVA cover log.  Default is off "0".
-; CoverLog = 1
-
-; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
-; CoverAtLeast = 2
-
-; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
-; Any positive integer, -1 for infinity.
-; CoverLimit = 1
-
-; Specify the coverage database filename.
-; Default is "" (i.e. database is NOT automatically saved on close). 
-; UCDBFilename = vsim.ucdb
-
-; Specify the maximum limit for the number of Cross (bin) products reported
-; in XML and UCDB report against a Cross. A warning is issued if the limit
-; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
-; setting.
-; MaxReportRhsSVCrossProducts = 1000
-
-; Specify the override for the "auto_bin_max" option for the Covergroups.
-; If not specified then value from Covergroup "option" is used.
-; SVCoverpointAutoBinMax = 64
-
-; Specify the override for the value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then value
-; specified in the "option.cross_num_print_missing" is used. This
-; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
-; value specified by user in source file and any SVCrossNumPrintMissingDefault
-; specified in modelsim.ini.
-; SVCrossNumPrintMissing = 0
-
-; Specify whether to use the value of "cross_num_print_missing"
-; option in report and GUI for the Cross in Covergroups. If not specified then 
-; cross_num_print_missing is ignored for creating reports and displaying 
-; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
-; UseSVCrossNumPrintMissing = 0
-
-; Specify the threshold of Coverpoint wildcard bin value range size, above which 
-; a warning will be triggered. The default is 4K -- 12 wildcard bits.
-; SVCoverpointWildCardBinValueSizeWarn = 4096
-
-; Specify the override for the value of "strobe" option for the
-; Covergroup Type. If not specified then value in "type_option.strobe"
-; will be used. This is runtime option which forces "strobe" to
-; user specified value and supersedes user specified values in the
-; SystemVerilog Code. NOTE: This also overrides the compile time
-; default value override specified using "SVCovergroupStrobeDefault"
-; SVCovergroupStrobe = 0
-
-; Override for explicit assignments in source code to "option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
-; SVCovergroupGoal = 100
-
-; Override for explicit assignments in source code to "type_option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
-; SVCovergroupTypeGoal = 100
-
-; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
-; builtin functions, and report. This setting changes the default values of
-; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
-; behavior if explicit assignments are not made on option.get_inst_coverage and
-; type_option.merge_instances by the user. There are two vsim command line
-; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
-; The default value of this variable from release 6.6 onwards is 0. This default
-; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
-; SVCovergroup63Compatibility = 0
-
-; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
-; and report. This variable sets the default value of type_option.merge_instances.
-; There are two vsim command line options, -cvgmergeinstances and 
-; -nocvgmergeinstances to override this setting from vsim command line.
-; The default value of this variable, -1 (don't care), allows the tool to determine
-; the effective value, based on factors related to capacity and optimization. 
-; The type_option.merge_instances appears in the GUI and coverage reports as either 
-; auto(1) or auto(0), depending on whether the effective value was determined to 
-; be a 1 or a 0.
-; SVCovergroupMergeInstancesDefault = -1
-
-; Enable or disable generation of more detailed information about the sampling
-; of covergroup, cross, and coverpoints. It provides the details of the number
-; of times the covergroup instance and type were sampled, as well as details
-; about why covergroup, cross and coverpoint were not covered. A non-zero value
-; is to enable this feature. 0 is to disable this feature. Default is 0
-; SVCovergroupSampleInfo = 0
-
-; Specify the maximum number of Coverpoint bins in whole design for
-; all Covergroups.
-; MaxSVCoverpointBinsDesign = 2147483648 
-
-; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
-; MaxSVCoverpointBinsInst = 1048576
-
-; Specify the maximum number of Cross bins in whole design for
-; all Covergroups.
-; MaxSVCrossBinsDesign = 2147483648 
-
-; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
-; MaxSVCrossBinsInst = 67108864
-
-; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
-; By default, this variable is set 0, in which case option.no_collect setting will take effect.
-; If this variable is set to 1, all zero-weight coverage items will not be saved.
-; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting 
-; of this variable.
-; CvgZWNoCollect = 1
-
-; Specify a space delimited list of double quoted TCL style
-; regular expressions which will be matched against the text of all messages.
-; If any regular expression is found to be contained within any message, the 
-; status for that message will not be propagated to the UCDB TESTSTATUS. 
-; If no match is detected, then the status will be propagated to the
-; UCDB TESTSTATUS. More than one such regular expression text is allowed, 
-; and each message text is compared for each regular expression in the list.
-; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" 
-
-; Set weight for all PSL/SVA cover directives.  Default is 1.
-; CoverWeight = 2
-
-; Check vsim plusargs.  Default is 0 (off).
-; 0 = Don't check plusargs
-; 1 = Warning on unrecognized plusarg
-; 2 = Error and exit on unrecognized plusarg
-; CheckPlusargs = 1
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Generate the stub definitions for the undefined symbols in the shared libraries being
-; loaded in the simulation. When this flow is turned on, the undefined symbols will not
-; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
-; The valid arguments are: on, off, verbose. 
-;     on : turn on the automatic generation of stub definitions.
-;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
-;     verbose: Turn on the flow and report the undefined symbols for each shared library.
-; NOTE: This variable can be overriden with vsim switch "-undefsyms".
-; The default is on.
-;
-; UndefSyms = off
-
-; Enable the support for checkpointing foreign C++ libraries.
-; The valid arguments are: 1 and 0. 
-;     1 : turn on the support
-;     0 : turn off the support (default)
-; This option is not supported on the Windows platforms.
-;
-; AllowCheckpointCpp = 1
-
-; Initial seed for the random number generator of the root thread (SystemVerilog).
-; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
-; The default value is 0.
-; Sv_Seed = 0
-
-; Specify the solver "engine" that vsim will select for constrained random
-; generation.
-; Valid values are:
-;    "auto" - automatically select the best engine for the current
-;             constraint scenario
-;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
-;    "act"  - evaluate all constraint scenarios using the ACT solver engine
-; While the BDD solver engine is generally efficient with constraint scenarios
-; involving bitwise logical relationships, the ACT solver engine can exhibit
-; superior performance with constraint scenarios involving large numbers of
-; random variables related via arithmetic operators (+, *, etc).
-; NOTE: This variable can be overridden with the vsim "-solveengine" command
-; line switch.
-; The default value is "auto".
-; SolveEngine = auto
-
-; Specifies the maximum size that a dynamic array may be resized to by the
-; solver. If the solver attempts to resize a dynamic array to a size greater
-; than the specified limit, the solver will abort with an error.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveArrayResizeMax = 10000
-
-; Error message severity when normal randomize() and randomize(null) failures are detected.
-; Integer value up to two digits are allowed with each digit having the following legal values: 
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-;
-; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
-;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
-;    represents the setting for randomize(null) calls.
-;
-; 2) When a single digit value is used, the setting is applied to both normal randomize() call 
-;    and randomize(null) call.
-;
-; Example: -solvefailseverity=40 means:
-;   fatal error for failed normal randomize() calls and NO error for failed randomize(null) calls.
-;
-; The default is 0 (no error).
-; SolveFailSeverity = 0
-
-; Error message severity for suppressible errors that are detected in a
-; solve/before constraint.
-; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
-; command line switch.
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; The default is 3 (failure).
-; SolveBeforeErrorSeverity = 3
-
-; Error message severity for suppressible errors that are related to
-; solve engine capacity limits
-; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
-; command line switch.
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; The default is 3 (failure).
-; SolveEngineErrorSeverity = 3
-
-; Enable/disable debug information for randomize() failures.
-; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
-; line switch.
-; The default is 0 (disabled). Set to 1 to enable basic debug (with no
-; performance penalty). Set to 2 for enhanced debug (will result in slower
-; runtime performance).
-; SolveFailDebug = 0
-
-; Upon encountering a randomize() failure, generate a simplified testcase that
-; will reproduce the failure. Optionally output the testcase to a file.
-; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
-; is enabled (see above).
-; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
-; command line switch.
-; The default is OFF (do not generate a testcase). To enable testcase
-; generation, uncomment this variable. To redirect testcase generation to a
-; file, specify the name of the output file.
-; SolveFailTestcase = 
-
-; Specify solver timeout threshold (in seconds). randomize() will fail if the
-; CPU time required to evaluate any randset exceeds the specified timeout.
-; The default value is 500. A value of 0 will disable timeout failures. 
-; SolveTimeout = 500
-
-; Specify the maximum size of the solution graph generated by the BDD solver.
-; This value can be used to force the BDD solver to abort the evaluation of a
-; complex constraint scenario that cannot be evaluated with finite memory.
-; This value is specified in 1000s of nodes.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxSize = 10000
-
-; Specify the maximum number of evaluations that may be performed on the
-; solution graph by the BDD solver. This value can be used to force the BDD
-; solver to abort the evaluation of a complex constraint scenario that cannot
-; be evaluated in finite time. This value is specified in 10000s of evaluations.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxEval = 10000
-
-; Specify random sequence compatiblity with a prior letter release. This 
-; option is used to get the same random sequences during simulation as
-; as a prior letter release. Only prior letter releases (of the current
-; number release) are allowed.
-; NOTE: Only those random sequence changes due to solver optimizations are
-; reverted by this variable. Random sequence changes due to solver bugfixes
-; cannot be un-done.
-; NOTE: This variable can be overridden with the vsim "-solverev" command
-; line switch.
-; Default value set to "" (no compatibility).
-; SolveRev =
-
-; Environment variable expansion of command line arguments has been depricated 
-; in favor shell level expansion.  Universal environment variable expansion 
-; inside -f files is support and continued support for MGC Location Maps provide
-; alternative methods for handling flexible pathnames.
-; The following line may be uncommented and the value set to 1 to re-enable this 
-; deprecated behavior.  The default value is 0.
-; DeprecatedEnvironmentVariableExpansion = 0
-
-; Specify the memory threshold for the System Verilog garbage collector.
-; The value is the number of megabytes of class objects that must accumulate
-; before the garbage collector is run.
-; The GCThreshold setting is used when class debug mode is disabled to allow
-; less frequent garbage collection and better simulation performance.
-; The GCThresholdClassDebug setting is used when class debug mode is enabled
-; to allow for more frequent garbage collection.
-; GCThreshold = 100
-; GCThresholdClassDebug = 5
-
-; Turn on/off collapsing of bus ports in VCD dumpports output
-DumpportsCollapse = 1
-
-; Location of Multi-Level Verification Component (MVC) installation. 
-; The default location is the product installation directory.
-MvcHome = $MODEL_TECH/..
-
-; Location of InFact installation. The default is $MODEL_TECH/../../infact
-;
-; InFactHome = $MODEL_TECH/../../infact
-
-; Initialize SystemVerilog enums using the base type's default value
-; instead of the leftmost value.
-; EnumBaseInit = 1
-
-; Suppress file type registration.  
-; SuppressFileTypeReg = 1
-
-; Enable/disable non-LRM compliant SystemVerilog language extensions.
-; Valid extensions are:
-;   altdpiheader - Alternative style function signature generated in DPI header",
-;   cfce         - generate an error if $cast fails as a function
-;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
-;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
-;   expdfmt      - enable format string extensions for $display/$sformatf
-;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
-;   fmtcap       - prints capital hex digits with %X/%H in display calls
-;   iddp         - ignore DPI disable protocol check
-;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
-;   noexptc      - ignore DPI export type name overloading check
-;   realrand     - support randomize() with real variables and constraints (Default)
-;   thrdrngshfl  - use the thread RNG for array.shuffle
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
-; Valid extensions are:
-;   arraymode    - consider rand_mode of unpacked array field independently from its elements
-;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles  
-;   funcback     - enable function backtracking (ACT only)
-;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
-;   noorder      - ignore solve/before ordering constraints (ACT only)
-;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
-;   randindex    - allow random index in constraint (Default)
-;   randstruct   - consider all fields of unpacked structs as 'rand'
-;   skew         - skew randomize results (ACT only)
-;   strictstab   - strict random stability
-; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Controls the formatting of '%p' and '%P' conversion specification, used in $display
-; and similar system tasks.
-; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. 
-;    The 'I' flag when present causes relevant data types to be expanded and indented into
-;    a more readable format.
-;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
-; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
-;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
-; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
-;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
-; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
-; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
-; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
-;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
-; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
-;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
-;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
-; 8. Items 1-7 above can be combined as a comma separated list.
-;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
-; SVPrettyPrintFlags=I4S
-
-[lmc]
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software
-libsm = $MODEL_TECH/libsm.sl
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libsm = $MODEL_TECH/libsm.dll
-;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
-; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
-;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
-; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
-;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
-; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
-;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/linux.lib/libswift.so
-
-; The simulator's interface to Logic Modeling's hardware modeler SFI software
-libhm = $MODEL_TECH/libhm.sl
-; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
-; libhm = $MODEL_TECH/libhm.dll
-;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
-; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
-;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
-; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
-;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
-; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
-;  Logic Modeling's hardware modeler SFI software (Windows NT)
-; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
-;  Logic Modeling's hardware modeler SFI software (Linux)
-; libsfi = <sfi_dir>/lib/linux/libsfi.so
-
-[msg_system]
-; Change a message severity or suppress a message.
-; The format is: <msg directive> = <msg number>[,<msg number>...]
-; suppress can be used to achieve +nowarn<CODE> functionality
-; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
-; Examples:
-suppress = 8780 ;an explanation can be had by running: verror 8780 
-;   note = 3009
-;   warning = 3033
-;   error = 3010,3016
-;   fatal = 3016,3033
-;   suppress = 3009,3016,3601
-;   suppress = 3009,CNNODP,3601,TFMPC
-;   suppress = 8683,8684
-; The command verror <msg number> can be used to get the complete
-; description of a message.
-
-; Control transcripting of Verilog display system task messages and
-; PLI/FLI print function call messages.  The system tasks include
-; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
-; also include the analogous file I/O tasks that write to STDOUT 
-; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
-; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
-; is to have messages appear only in the transcript.  The other 
-; settings are to send messages to the wlf file only (messages that
-; are recorded in the wlf file can be viewed in the MsgViewer) or 
-; to both the transcript and the wlf file.  The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; displaymsgmode = tran
-
-; Control transcripting of elaboration/runtime messages not
-; addressed by the displaymsgmode setting.  The default is to 
-; have messages appear only in the transcript.  The other settings
-; are to send messages to the wlf file only (messages that are
-; recorded in the wlf file can be viewed in the MsgViewer) or to both
-; the transcript and the wlf file. The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; msgmode = tran
-
-; Controls number of displays of a particluar message
-; default value is 5
-; MsgLimitCount = 5
-
-[utils]
-; Default Library Type (while creating a library with "vlib")
-;  0 - legacy library using subdirectories for design units
-;  2 - flat library
-; DefaultLibType = 2
-
-; Flat Library Page Size (while creating a library with "vlib")
-; Set the size in bytes for flat library file pages.  Libraries containing
-; very large files may benefit from a larger value.
-; FlatLibPageSize = 8192
-
-; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
-; Set the percentage of total pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeleteThreshold.
-; FlatLibPageDeletePercentage = 50
-
-; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
-; Set the number of pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeletePercentage.
-; FlatLibPageDeleteThreshold = 1000
-
diff --git a/compteur/simulation/run.do b/compteur/simulation/run.do
index cc99201..3979db8 100644
--- a/compteur/simulation/run.do
+++ b/compteur/simulation/run.do
@@ -1,3 +1,4 @@
+global DO_VCD
 global tb
 global dut
 global vcd
@@ -5,14 +6,18 @@ global vcd
 set StdArithNoWarnings   1
 set NumericStdNoWarnings 1
 
+onerror { break }
+onbreak {
+    if { $DO_VCD  } { vcd flush }
+    quit -f
+}
+
 log * -r
 add wave -r /*
 
-onerror { break     }
-onbreak { quit -sim }
-
-vcd file $vcd
-vcd add -r /${tb}/${dut}/*
+if { $DO_VCD } {
+    vcd file $vcd
+    vcd add -r /${tb}/${dut}/*
+}
 
 run -all
-vcd flush
-- 
GitLab